From 655f3f64308ac2abc8741c18819f4c7212b343e2 Mon Sep 17 00:00:00 2001 From: Yohan Boujon Date: Wed, 4 Oct 2023 14:45:19 +0200 Subject: [PATCH] Updated gitignore. --- .gitignore | 68 +++++ .../data_memory/data_memory.cache/sim/ssm.db | 10 - .../data_memory.cache/wt/project.wpc | 3 - .../data_memory.cache/wt/synthesis.wdf | 47 --- .../wt/synthesis_details.wdf | 3 - .../data_memory/data_memory.cache/wt/xsim.wdf | 4 - .../data_memory.hw/data_memory.lpr | 6 - .../data_memory.ip_user_files/README.txt | 1 - .../data_memory.runs/.jobs/vrs_config_1.xml | 9 - .../data_memory.runs/.jobs/vrs_config_2.xml | 9 - .../data_memory.runs/.jobs/vrs_config_3.xml | 9 - .../data_memory.runs/.jobs/vrs_config_4.xml | 9 - .../data_memory.runs/.jobs/vrs_config_5.xml | 9 - .../synth_1/.Vivado_Synthesis.queue.rst | 0 .../synth_1/.vivado.begin.rst | 5 - .../data_memory.runs/synth_1/.vivado.end.rst | 0 .../data_memory.runs/synth_1/DataMemory.dcp | Bin 292861 -> 0 bytes .../data_memory.runs/synth_1/DataMemory.tcl | 120 -------- .../data_memory.runs/synth_1/DataMemory.vds | 191 ------------- .../synth_1/DataMemory_utilization_synth.pb | Bin 276 -> 0 bytes .../synth_1/DataMemory_utilization_synth.rpt | 182 ------------ .../data_memory.runs/synth_1/ISEWrap.js | 269 ------------------ .../data_memory.runs/synth_1/ISEWrap.sh | 84 ------ .../synth_1/__synthesis_is_complete__ | 0 .../data_memory.runs/synth_1/gen_run.xml | 44 --- .../data_memory.runs/synth_1/htr.txt | 9 - .../data_memory.runs/synth_1/project.wdf | 31 -- .../data_memory.runs/synth_1/rundef.js | 36 --- .../data_memory.runs/synth_1/runme.bat | 10 - .../data_memory.runs/synth_1/runme.log | 190 ------------- .../data_memory.runs/synth_1/runme.sh | 43 --- .../data_memory.runs/synth_1/vivado.jou | 13 - .../data_memory.runs/synth_1/vivado.pb | Bin 20370 -> 0 bytes .../sim_1/behav/xsim/DataMemory_TB.tcl | 11 - .../sim_1/behav/xsim/DataMemory_TB_behav.wdb | 0 .../sim_1/behav/xsim/DataMemory_TB_vhdl.prj | 7 - .../sim_1/behav/xsim/compile.bat | 26 -- .../sim_1/behav/xsim/compile.log | 4 - .../sim_1/behav/xsim/elaborate.bat | 25 -- .../sim_1/behav/xsim/elaborate.log | 18 -- .../sim_1/behav/xsim/simulate.bat | 25 -- .../sim_1/behav/xsim/simulate.log | 0 .../data_memory.sim/sim_1/behav/xsim/xelab.pb | Bin 2046 -> 0 bytes .../DataMemory_TB_behav/Compile_Options.txt | 1 - .../TempBreakPointFile.txt | 1 - .../DataMemory_TB_behav/obj/xsim_0.win64.obj | Bin 5716 -> 0 bytes .../xsim.dir/DataMemory_TB_behav/obj/xsim_1.c | 110 ------- .../DataMemory_TB_behav/obj/xsim_1.win64.obj | Bin 2640 -> 0 bytes .../xsim.dir/DataMemory_TB_behav/xsim.dbg | Bin 4248 -> 0 bytes .../xsim.dir/DataMemory_TB_behav/xsim.mem | Bin 2866 -> 0 bytes .../xsim.dir/DataMemory_TB_behav/xsim.reloc | Bin 308 -> 0 bytes .../xsim.dir/DataMemory_TB_behav/xsim.rlx | 12 - .../xsim.dir/DataMemory_TB_behav/xsim.rtti | Bin 557 -> 0 bytes .../xsim.dir/DataMemory_TB_behav/xsim.svtype | Bin 12 -> 0 bytes .../xsim.dir/DataMemory_TB_behav/xsim.type | Bin 6816 -> 0 bytes .../xsim.dir/DataMemory_TB_behav/xsim.xdbg | Bin 66632 -> 0 bytes .../DataMemory_TB_behav/xsimSettings.ini | 50 ---- .../DataMemory_TB_behav/xsimcrash.log | 0 .../xsim.dir/DataMemory_TB_behav/xsimk.exe | Bin 67963 -> 0 bytes .../DataMemory_TB_behav/xsimkernel.log | 0 .../xsim.dir/xil_defaultlib/datamemory.vdb | Bin 4212 -> 0 bytes .../xsim.dir/xil_defaultlib/datamemory_tb.vdb | Bin 5013 -> 0 bytes .../xil_defaultlib/xil_defaultlib.rlx | 6 - .../data_memory.sim/sim_1/behav/xsim/xsim.ini | 1 - .../sim_1/behav/xsim/xvhdl.log | 4 - .../data_memory.sim/sim_1/behav/xsim/xvhdl.pb | Bin 690 -> 0 bytes 66 files changed, 68 insertions(+), 1647 deletions(-) create mode 100644 .gitignore delete mode 100644 data_memory/data_memory/data_memory.cache/sim/ssm.db delete mode 100644 data_memory/data_memory/data_memory.cache/wt/project.wpc delete mode 100644 data_memory/data_memory/data_memory.cache/wt/synthesis.wdf delete mode 100644 data_memory/data_memory/data_memory.cache/wt/synthesis_details.wdf delete mode 100644 data_memory/data_memory/data_memory.cache/wt/xsim.wdf delete mode 100644 data_memory/data_memory/data_memory.hw/data_memory.lpr delete mode 100644 data_memory/data_memory/data_memory.ip_user_files/README.txt delete mode 100644 data_memory/data_memory/data_memory.runs/.jobs/vrs_config_1.xml delete mode 100644 data_memory/data_memory/data_memory.runs/.jobs/vrs_config_2.xml delete mode 100644 data_memory/data_memory/data_memory.runs/.jobs/vrs_config_3.xml delete mode 100644 data_memory/data_memory/data_memory.runs/.jobs/vrs_config_4.xml delete mode 100644 data_memory/data_memory/data_memory.runs/.jobs/vrs_config_5.xml delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/.Vivado_Synthesis.queue.rst delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/.vivado.begin.rst delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/.vivado.end.rst delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/DataMemory.dcp delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/DataMemory.tcl delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/DataMemory.vds delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/DataMemory_utilization_synth.pb delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/DataMemory_utilization_synth.rpt delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/ISEWrap.js delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/ISEWrap.sh delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/__synthesis_is_complete__ delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/gen_run.xml delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/htr.txt delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/project.wdf delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/rundef.js delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/runme.bat delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/runme.log delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/runme.sh delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/vivado.jou delete mode 100644 data_memory/data_memory/data_memory.runs/synth_1/vivado.pb delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/DataMemory_TB.tcl delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/DataMemory_TB_behav.wdb delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/DataMemory_TB_vhdl.prj delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/compile.bat delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/compile.log delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/elaborate.bat delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/elaborate.log delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/simulate.bat delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/simulate.log delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xelab.pb delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/Compile_Options.txt delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/TempBreakPointFile.txt delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/obj/xsim_0.win64.obj delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/obj/xsim_1.c delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/obj/xsim_1.win64.obj delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.dbg delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.mem delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.reloc delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.rlx delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.rtti delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.svtype delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.type delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.xdbg delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimSettings.ini delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimcrash.log delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimk.exe delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimkernel.log delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/datamemory.vdb delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/datamemory_tb.vdb delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.ini delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xvhdl.log delete mode 100644 data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xvhdl.pb diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..48397b5 --- /dev/null +++ b/.gitignore @@ -0,0 +1,68 @@ +# Vivado project files +*.cache/ +*.data/ +*.hw/ +*.ip_user_files/ +*.runs/ +*.sim/ +*.srcs/ +*.sdk/ + +# Vivado settings and logs +*.jou +*.log +*.str +*.bak + +# Generated files +project_*.xpr +*.bit +*.bin +*.bmm +*.dcp +*.html +*.xdc +*.ltx +*.ngc +*.tcl +*.xgui +*.xise +*.xml +*_bd.tcl +*_top.xdc +*_wrapper.bmm +*_xmd.xdc +*_xmd.ini + +# Compiled files +webtalk.log +xgui/ +hdl/ +isim/ +project_*.cache/ +project_*.runs/ +project_*.srcs/ +project_*.xpr.user +*_vivado_* + +# IDE specific files +.DS_Store +*.suo +*.user +*.sln +*.ncb +*.aps +*.vsp +*.pidb +*.opensdf +*.VC.db + +# Ignore user-specific settings and configurations +*.xilinx +*.sws +*.cache +*.str +*.history + +# Ignore backup files created by text editors +*~ diff --git a/data_memory/data_memory/data_memory.cache/sim/ssm.db b/data_memory/data_memory/data_memory.cache/sim/ssm.db deleted file mode 100644 index 17276db..0000000 --- a/data_memory/data_memory/data_memory.cache/sim/ssm.db +++ /dev/null @@ -1,10 +0,0 @@ -################################################################################ -# DONOT REMOVE THIS FILE -# Unified simulation database file for selected simulation model for IP -# -# File: ssm.db (Sun Oct 1 13:53:31 2023) -# -# This file is generated by the unified simulation automation and contains the -# selected simulation model information for the IP/BD instances. -# DONOT REMOVE THIS FILE -################################################################################ diff --git a/data_memory/data_memory/data_memory.cache/wt/project.wpc b/data_memory/data_memory/data_memory.cache/wt/project.wpc deleted file mode 100644 index 9b34209..0000000 --- a/data_memory/data_memory/data_memory.cache/wt/project.wpc +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -6d6f64655f636f756e7465727c4755494d6f6465:1 -eof: diff --git a/data_memory/data_memory/data_memory.cache/wt/synthesis.wdf b/data_memory/data_memory/data_memory.cache/wt/synthesis.wdf deleted file mode 100644 index 13280d6..0000000 --- a/data_memory/data_memory/data_memory.cache/wt/synthesis.wdf +++ /dev/null @@ -1,47 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:786337613335746370673233362d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:446174614d656d6f7279:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a333973:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313136392e3239374d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3734382e3737334d42:00:00 -eof:3762025591 diff --git a/data_memory/data_memory/data_memory.cache/wt/synthesis_details.wdf b/data_memory/data_memory/data_memory.cache/wt/synthesis_details.wdf deleted file mode 100644 index 78f8d66..0000000 --- a/data_memory/data_memory/data_memory.cache/wt/synthesis_details.wdf +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 -eof:2511430288 diff --git a/data_memory/data_memory/data_memory.cache/wt/xsim.wdf b/data_memory/data_memory/data_memory.cache/wt/xsim.wdf deleted file mode 100644 index 50afb2c..0000000 --- a/data_memory/data_memory/data_memory.cache/wt/xsim.wdf +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 -eof:241934075 diff --git a/data_memory/data_memory/data_memory.hw/data_memory.lpr b/data_memory/data_memory/data_memory.hw/data_memory.lpr deleted file mode 100644 index 7c60ccc..0000000 --- a/data_memory/data_memory/data_memory.hw/data_memory.lpr +++ /dev/null @@ -1,6 +0,0 @@ - - - - - - diff --git a/data_memory/data_memory/data_memory.ip_user_files/README.txt b/data_memory/data_memory/data_memory.ip_user_files/README.txt deleted file mode 100644 index 023052c..0000000 --- a/data_memory/data_memory/data_memory.ip_user_files/README.txt +++ /dev/null @@ -1 +0,0 @@ -The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_1.xml b/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_1.xml deleted file mode 100644 index 0b56a7d..0000000 --- a/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_1.xml +++ /dev/null @@ -1,9 +0,0 @@ - - - - - - - - - diff --git a/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_2.xml b/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_2.xml deleted file mode 100644 index 0b56a7d..0000000 --- a/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_2.xml +++ /dev/null @@ -1,9 +0,0 @@ - - - - - - - - - diff --git a/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_3.xml b/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_3.xml deleted file mode 100644 index 0b56a7d..0000000 --- a/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_3.xml +++ /dev/null @@ -1,9 +0,0 @@ - - - - - - - - - diff --git a/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_4.xml b/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_4.xml deleted file mode 100644 index 0b56a7d..0000000 --- a/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_4.xml +++ /dev/null @@ -1,9 +0,0 @@ - - - - - - - - - diff --git a/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_5.xml b/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_5.xml deleted file mode 100644 index 0b56a7d..0000000 --- a/data_memory/data_memory/data_memory.runs/.jobs/vrs_config_5.xml +++ /dev/null @@ -1,9 +0,0 @@ - - - - - - - - - diff --git a/data_memory/data_memory/data_memory.runs/synth_1/.Vivado_Synthesis.queue.rst b/data_memory/data_memory/data_memory.runs/synth_1/.Vivado_Synthesis.queue.rst deleted file mode 100644 index e69de29..0000000 diff --git a/data_memory/data_memory/data_memory.runs/synth_1/.vivado.begin.rst b/data_memory/data_memory/data_memory.runs/synth_1/.vivado.begin.rst deleted file mode 100644 index 3df3fc4..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/.vivado.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/data_memory/data_memory/data_memory.runs/synth_1/.vivado.end.rst b/data_memory/data_memory/data_memory.runs/synth_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.dcp b/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.dcp deleted file mode 100644 index 617c014e6c178c52850daf160ef6d25777cce50e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 292861 zcmeFac|6qn_Xpl46{V=iE=Af9Qr4nKVTvNkQmBlqW#3vYWl1BlS7efGAz3GpH57x4 zEqj*17z{Ja{9f<(=-#@wdvDYC^ZR^1-{0@^$IP7bI@S zUYf~qDJa~ZF0BwepMCoLEjzro3GWU|`9!|je2QiEc`jvM($;;Z#vE#*cT$m6C08Y4 z$Lyx#&)-@#KA9T0K3yb~;QvIdq1ZPA^_pLE-W#qK!mux#8N^l05d$%`jH_q0CNS;<58 z6YD?n`Rw+WeXDGaZdp}E@)ixV)?XXd`8CvWLZ4xv}z)5u1mKnjq_9wD4HcaUwS<5*{699$)frB z=7(#RV-_9%&8=^NTSIMk*GxTHyeKMKaM2pz) z#GYY+BJT_@ds6o(nv~{69U4oc*3Wm+h>glLLP?Y@hSHzLMw<&C^`;JDJZE}ZZ9Ay= za&LD+mvTpe7iGGU^vs1q&ew-_clP$oC^}N%{k}T`bi8o~YR&Hg77`7c)1G29w4q7*7&K-&Vo;DnLfQVhA;#Moo{ZklqSw+E#TQ{+adMikBTHph9c_pX|yP{@tS#vZgG zyooVwW-m2uA|D8o>y#-q`t4(Kw4&xtjOSE;aOYfC<6TO4Z9BQErj^qK9M{@xYdrsW z&K)yHPNoeHr*(IF5!{vX=M>{SKT>wJD~HnZW}erXOo|VXPI*(O^eIW0p?uMz5lUyK zTX1Kl7kIgXmnV3+cas#TDaLj4XXaPn!ZYVey~u<#YKcZEw|P>wX3s>u1$5Nw}26YhCetsud3a#mOW#i*>JW4n8={A3F!4ZjI^XA+qpw7sQ=W|?jJjX% zobLAp<|R(YiBpG(;MF$}=SiqM*7m+MZWgT%(3_KALha_68I9{UAq;nVOo`L<=Sbmk z9t5Jvqh1q=IC(ru*0yNBH@dTcOpO{dE}WSy7od^fG0)Jv-DiUHJ3Yr6ILqUT#=^&y z#ch~rgaHBS#1P4wLZG?M<7ut5dMbt(H`~QVo1xBSdtRe$wK<7W9vyR*c^B`v^tb?R zE;$Y}Hv&TRD($n66IH_b@_=_$S6-bvwXbbadx^5gjITiL(@soa>#WP*6iK;gzMn!= zpPw)yv*!$pj~N%0(ztC~ChBd+y!4IV>ffy{kJ9({aJGDRn_0Xxg=*4G_Ti+qo!L4! zDVY_lL>{RoG85Z#hLcUa=PTno{Ydkg#?rGIpEi`FUOv~%n*Zu{yTnM@MyKlZGiN^W zm5g3KM`F#-xveNMQoL+hL6x~@RvE)uRepWkef^`0WOnAFy!{^e$@^tq^NU1P>*IZ* z_$Nv{8dRNl?AuWaIAc{HL-fndHjG%eJb2lKaB;VG&vLm)% zk0qjCPoXAd0G{W$y`252l?G*4)XQA zPG`${oxWe_FOt&$7IJ9Wpus}X$Tn@TP+K}TCQLFn=8}W_F0k-puahBI_@ok_1x`GK zYPAF>{=QI@Qn^}4U7WIs{m8zz$5;+xcst~-QU+60F}$6Cn1zVXfLNIdh%P{Ef{1QF zh(SaTAofEVJS#ckjyw*GS^8e{B15)zhS`F(wsX{rV+o z&z2kRo0_MLeGXj{?|I%)eQ(7$aJc&>_Qgd3JLEh|!wDc0qmy-`{9$+ZS~ z&rPKJ%wo6$S03$${rMk3$1Ibew_;3zQkjb{({>h7u^1FP`D@n;UccO`Sn=X zVr}`AusH*7!S+yk3tQE23O2Yt>|^U!Yhyk_E&(=7Cqp(|{Q}sKDY|2@+9jTjt)*6A zzc=BM>C^C;Kf`i%+kXq9CE$A7J~Qqc0M2hbx){KCy&VGp`4OznFCF*tDP7*nQURh+ z+&5=UyO-PW2k~-<@B#$$Ye3upggQj{0Kyj{?m%-OA_$t33yAyB9Eb>m=H#yN6lypN z?DPScRqkqRKY;VEeyo!FCY=L_e>N_2gxvnQ`t@_V+nb?41kt{b&p|L1d*-m(;WXfY zw%!8A()2pm;0gS@i9?);MYG+ zd>AAb1tiNGwT9bUz?pha>M(HoB9pRH3vN$bi1w>wKk*(8DAq^wEv_|a(*s(Vp45Zk zq#mgU$94ZHC{8X$?K*Vv+qhm#&%%q*&g4BEU+CC+gM&*3>kImsKf~U3`Mx-S>#cgt zK+gR>uCFyfnfhN-6Fx02h!e_KI=f`g7P-mlt`yarxDG%NAfgixi&Fvd84&9rq6-j0 z5YY_?Nr>nH#6gJY1;h!6=mW&LR8^A->1JT3tH7*lV$l2l2Ol@In@JXD+Xr!=Ji9}g zI^5m}a{J7l)FHYHWER~1q(R+dQydgbcJy`)4rm^FQum}M^(=bsPJ?rI>t!Lic&J`4 z#Pte0I18`ZSJ)6vP@`juk*fW*#FzGb3nHe1aNsQ%W(?Kq@3X9TKrCwpBM`v~h&A?rSPzXr1TQq=0EjKn2t@3JMy~LY zK^qS2{s^R>t6vmqKzH%0AM3B?6^Zu@X*W+>oNa+Jbz#7;8(dKBPc688knZ*kF-qOl zGq<3kOR?GXISWPa(vHAM9WM+g^+Y(X(XOzMTQ0DC+yvL_g}DCOUBSIMbjWLls`l5( zLi}*k_girQ*ZUv0S^t`vux1e^+GNdVeqNI%{HKdUQxy*jp9L(M3aIj1_UVqXU3Dxz{ z0{R@;v8QSvFO0r-Pg)1MdCCMVHnSOqhE=YrftDJ~he|Kl5`-vPZM~fvTD&K)<5=K| zQSgNf90|(E8ysNAe~yK|n@u4C;mn~cylS6}$ENww+ZM}6P%b#Fb`9$O0SkBkKh3Hv z=K_hSWDh9mJL9iWd@bMtaBkCLZP2QNT|fa42!p%$pAnf*yN3}b9WjG-m>xb)*V!Cr z%noto*b=C?{bd&}aJBN9$x8(o1!Y8STCNhv z&bo!CX64d64T;au5vB#MQ|QdSyBF1pN8cv&hEoU2G1Nn|^_0A`^Sz{=K}m@^)SGv^ zynOF)#+A-DHq)jCPjt;UA3S^c((Y}s9rbO3Y8?SBjy>ny9j+55m-j7~-0dX9$%PnG zwOQM9KGPlyI`x3T>Gjayc4%)kAnnj;M!Bjc;=><64-@B3#(9#>B>!bu-`Ly(RG#f#<;9 z7hKloN(NkA-@+QaS=J}STlxa21uU#-Qw!0OyK}jJ@14tFW2u}I=BS{}QMrMeqrlwv z*6UlKwcuWRvuB)aHg+a%?5wTnZpC)D<{EfJ8G6Xe-nG@eYddg(V2vc;+jbUd?JA0i zdY6;*F6T<-%y*0OvEBJ#QMjRptnA$}ox5ZG7YIg3LOWP=Y*$fK)H~;-cg|NbU7iP? zvubNXMCw?w%J*Cl)SKe)+fgmLmS8Hcc-^lYH!!*E`~j>N+nsZmPA=Fz@zd_6td#jO z2_%NOEWOi~_0I!E$Jhe8@+Wypo{iNnHJjNDCJ))~khmai%cQ1g$5i%0B$db+jWqkj zEi3hg8TjP=;L6fBz&`sGuXAzDx+7<_93lJeL5r?k3S83Mzdce0v5@3~+-a&RYP1qRsyKp0n+1Dv?(ogHT zoXTnryciZ;+Z=`v+>8o~J#c6<9=eMkZtS4s2#KepB1$sf2KXre_7k4;hHIJw z&V$z_J;J@rxTcKUOj$dh0;ek4FqH-Lr@VQ_ociWrW2qzchORa1nVt*FXRdv9$yZnW z9xXrblHcrDKa3ymn=TXl-ebSJUERznF7R)?``cXleOQ(&UOz2Czsa|jTdwvuX8o~{ z>1OPKom@Zb)*B%Zc5|jsi6^;BYF}^i)0$t_T{f}u(46DM^khRKM(vKEcugfxQdQ}$!=|{0yfp0xqgD}>~+ax|KT|% zG>+?4Sq;y)ss8q4ja+YaTa@1p6e>;5i^GE5ViTf3_9fd~I0GA4q{MwEDdW~;9O zxslavNrox?CO;h@tN}j?Eymo;B-~h*E@Lw+s+A<|6Jsy6ww1iHG^LNj@66LQ`y&KH}soJ$h#FKe8%cQ-s8_%Vx~saGsP~|H+_%n zmh&!7+Ut{IFSXR;z#r|1LHl%`1W5Q{()t6e|B&%^at%&7%{aK5t^Eu8&&5mT*D>UF z#?b!e$lo~d#zBPO#(=*}Vtsk{# zxYE5}B=AQ&_KqcW$u`1e`=1NUAAH54=2pm8)YifE$0GLCSF}s-M>836d+{UDwJc@- zqe8O~M;WFcIQ*E*{;AL`_~^8R4wUv^dDVv=HT$$lc4|tg4i)MWcc={;BaC;@qX*1{+cWz36*m`gxIJSVV}jFD zSK;^V*`LJUv}gag6+I9O^d;(98rxEJLHl$Zx*cd3&1~XVKz$_W=RxcC=3(nL70@7p z0@nRCDF40|G7>agT)%hGnuMO0(68hiCZ}di;>eNp%0wy;Fp{L)+I+~+YH zr0?ZU%AW~S@EoMh4W~^bXsyJtBecr0`Dsz_qG6h$(R@OWTfY1VGbTLPF3q1ZXf+?< z-dbCTqxIIsd65T2gI`kns}UHtS?^eyg~{BIQDd<3906aAnZx5vFs}1zE`nDbbL3Of z=9N-LXZRevtlyF<$a8VYT~A3B^3%>^_nk+GE^)m4yF5qEJS{@#RZ`4lx+uLiSyq*^ z$k_BW&bLJxpJZ9ib&8C%-p(*F<{4{YP754%nwBE>aOM;bZ;s7#PngaaL%E%6+iH8i zU8Q3S<(4OA?X>D!uZQ>$ z-zQ=l+H(uM+Ffizb>DhL-t&CVR;fto^X-lG71df+SM=|=ScJH304zeATEx9z~UA_H+u3Limr zc*l*sC_9g^5!2W0&%?xF+d>Q{qGdG^gUNl$3X?>KK z^Ln$FqNI)}|FObyoR(s`i81F`i+bMfy%ii{romVxB1x>}#O1`c69KM93R^}{_-&(Q zg?rmFO}v!KyyRZnzv0F6UqtAi)iRIxEqCwKgxIKlDbqt)vc(T?EFIz{czwuzA1Tm8rK)!k8BNT^n^ zG0}2!#HeVFZU-W$GPZ5AHK)Vf$v0lE=RC&ZTy0xh;<}8q(n^}o2JiByGt}Y84xCz4{adExxvhs2X*)z{j9|lvIT~$rm=Se zrE>2Ef>qXC%ZYn$mQp_R^tmoi3G-dXpXND2Nhs2|PpZhW4arb(9IX`Z6zVBD$ep@5 zYM2yb-T8F2cX%xI7?(PDv|x24N0U6+*|2ZP;5em=%;Ga`B)4U_uq1T2FrSd%aAdEZ zuZFB%kJcAob2G<>4rVgl27#(CGvqY$GPpCwDnAIoIggaF&@PY#y?qIwXQ!QjUEP-6BBneMRc!BwaVNucbaqM$8AJYN^6r9jF%CzLpV_ zit=H1FikXOUfmqA{Bchx>O;E=0?iA=ukW?cuFs!m^)MBPUK)3i*1C3a-X=UTq)nJl zG+&*&#djt7WN%-y)6NOqJ+pBlQFG;ZvRK3%0;NUmp>AbGn@=cf$;}4O#3HCl^~}>D z0Uus&cul>&g+K{y6N(YZSG{9mrnQ=FBz!C+ByPZSP83rz7BZ}Stb6E7Xxq`)jSf3z zL2?m^IMLfY~*uB-CgSn!n3XT;kCfn`GP5Z%U| z1f9M)T*8Q%5xLp9ZHj1xdKv6EXhI)W`Q&O~=Vg6#`lDCYWYB!fY)1y$bKBP14zCJp z$N4T+t(akXW3FhEsENQm-S0xiZq}t~RVj5a-GHcFw_@OdcS=JDgC=JW0S_x6o|$n% zyQT$oAe$rHsQosjMIgnO)-?u}$7)h_X}p)Rtc-3b3C+5QsSRI#7Wgk1BSMu=+vU<`j_Svb1eNni@JbgR{RGV97mfD4KI**xxn;1U!Um z;zqFu?hfZmpI)JId>zix#-sPiUcMhyS(7D;iuY97TUpb4;bl~%y|*b9)Z%rnrUJuK z_0!THr-b9ln>2POM2V#(I178J-RBvrF_-P&$T0Cz>!A4O59Fee`>^7NZr|-hn;*+NOp>(uv}Yaiyvs1c7$taR;Us~~DVT&>)(mZ~C4 zD9O-jTHkv6h1jdg$n)|okx%XgJDvL^lGD+uVOt2oF7-~Uzxdr|@6RArhdIa-cJ*Bv zsod4)^zuyAj0`S&cka_RZI5I74Leb1s_-f{VmXyY^-eGI6M)cNY13Q|YBg0jQ}heR z{a}~fmf@ON`M1H#{7JhO@R)mY{xK0;djbdE&l3R%a}IokCt|l_er2j7Sj2(1dG7y8 zRUlCDV4NQ>M$KczK*CFEY0s=yMPPo6{cF@kR#DGVyMiE51eZ4HnvhrEt3vJAh$wPM z?yzHr8r5dhRZt+>J$69dZM9V0g)OwLbsMzFr@LID;(C+blDwbUwQ6@LahlN1KHkeI z3S@JqyG{>8qtL6+?$0E=0<(nO1TiyL3rI#i#IuS#{JBQKQ%2qLWf}qnyo8}j=YR%9 zCs)~iPu&T@uB&zHv{k+AwYVr^Z}*xR(*oH8D@jcaiYi=~vb@a>YkQZ@es=G5E%-Pt z7_&A^yy)P}yku9anS%9}IyPj7) zQa22xWWK-K{g${NO6mOm4&)x!w+{8pJJm<_cb-L%UHbeK2U@|sQh7t7ZF$h{S?n0K zGo_*kz1_XVRm>ACGz`hQXEJA5Ge-H)EK#vXC05B(5aV+5{Xnd}6z*!pSg=MXzuEjJ zIad{DA+|3{_b?Pwg?pV;X4MLItP)qH+4}~?cffDtLFLsFPQuUo>p$co1 zTN=junlH)5%NrjUNj!U?EpVEor+m-EW6x-%I@vrc;AC*K(zFr#Q+t}V8>iX)xHb40 zb~uASwv}(jOnPvyl|pt0%ETj}L*8}BwL>7-d;RiGfgaBQm%*GUmqFPk^_w{c`5HL} zJ-H8xMSJMx0&5FpVtf%sz-@l z;VzM&MTqWR$_1(V#9H2!a)UyaU;Qz);5`nJ`<|Pi__q25^Mq@GdMYLWw82X;>R}m4 zuD}Js0R>5x8H6KNU5et!Y2DpOwE~~BySK6h8H49#!)tE}}(iJT7`ZoTw$+6x>}B z=MF+<{_fi$vaiIuyGz6c*XZsRETeQ?a?pY_x!V=Oxwc%4bFFi{5j%fHC-i1ZyPuv* z%}+354=O~EdB;uJ$r>@+XHtGh#O)P%Q6L(4F z1sm6z@hwxFSknDEfT=WO>_xC{he7a>THQ(eD)8@Uv(!ffD#TM+h_jVcGWpXoe10j# zw`b2Zn|e`(lD30H9;BPk8n45>v)esYb2|iS55un+u1KKos*fobS_2H z@bqdU!_zyWHtMOyZEUJ~cDwOI5)dPS7jDn;7EC|zNg03Y*7%s-9`Ie?J>tguXG2s6zxgD8vlbHH}z;LtW!^Zlw z^3pVQFqZ?vuQl(cIp-!lH7rRO{)qj7z)EBCJg`UH=O-sG?4qy155Cs_)6U-=d3LL--;Tez#&?tH7q@@#|8r$_ z8o&KVP~>S(tZhzo^)pR*uu4xIeIq+;`)R(ERZWJ;rjC=_Pg`Z&X#DuXG^G&;bAWKi zX+AsS~-;D?JYE80w9@;-2j0kUk?Jw*ck{tg;!uf2VI~K1V&>fD238&Oa9HL zE7PD8w_+mug>F2ULq__p(3?p9q2Tq`>;24R`Yzpam8xf>{&DB;jvU^?W^esB*Z6KS z{o<+rUsC2mxrr7&vZ4{2;%}%`6Y1B8P4?H*N{Kwuh)wd>(F%-Q(b#~F0!A_eMrtxl zGIhKPu#p6?@!T{e8(<^tM)pR4jT(TB6d>Gj+A0?aQ%zH*R)Ksb;_Ao4VCpxW)N%>eaWb>iaL>nzE64`XoqfE*1y2XaaHoOSZW3oy)l`iMD;YIf8;-O1==}kx=JX35~4TMfWsGn{~mZ?;2 z`{$(aT{N}=R9Z0>x;7|**EU+--K!w@)SZQJHJ`Kt%1X-4aMlt{gAhj@fsxj6gP$Vl1^fGp@{ge%n3SR-5&8b3~9bGFF@Qbkjr(WwMc* zPwApXj>^a&H=jhrje@FM2sy**DX1?=rYTQB@kzds-2}RpLQs5Om^w;>;*$-8DW)lX zK$s7NJ5GZR8R-4P2KEO=qPM)Io6RPC=qT*7H16`5G?z zKnctf2{Qw9#(^&Jg(YrqfgJGtYB~nGFT})J5`)P|2_z#1yDtg|N?XQ)@`AFL%s+yK zA4KlWUj++4gF&Kt-`7QgF|e=!uoN=}76s6MFf#@g!o6N0hLv#;958j;%nad6YCn{} zVyhNIjsDp+P?;3H@&-UoF={E4-v)W1=Du#=-*hv^&73)vo@B(48<{6_B00%`BO}sH z=Hv6EQyfW=axy2LC!OGU>_(n^2#f@!2g4np9nog8+68(QL(r?}6%TzmmELq7^eP6$ zhRHx^1BCkNayTF~E=CT5wt#SnG4S$(NQ`H!qPS>ItPN%`5+y5D6&P%$%KuHutSR8v zLKHyX{oMNDCQBFYxMJPm_Ny1}#V(pa*-LRA>e7a1|2<}Y*j^NglL<*qI>V7287Z?a zIZ2-*CDKqP%Mt}991RANw(ZdGNsQEDVoDw=6^zR2o} zCrVNcN(?@wTjA_B%8o?JMz^Qub!1$~d67zb(V~?+Y-HusI^@zn?cFNG1e)c1z)SI80(bnWBxy+jHEmj`OnW@ zzCO84#23sr<@QsyGRlqk^=utS-PyFQX88)EB`p;CRg}|$uFXABMX!S%-H&f)i z%oOs;Dcxid9T`XDlaspfA}UjpgI}L9X6u0`EivFp%X3r5EKqz>z>}6_)0B7MNlWI9 zY(4NBKsyko0wH(|Q+NZ^IuN=|jD>ES$inku1|Dlg&AoB7B6C1LG--MF!%ub*M(~r} zT>6t;SNN;NMf#K7N$5*Qa~$-;1pJ_yk%Pd~d~q`wFRusF*l(8G`vRn&!5q9Jb+{oiUl zO1F%)V;8*~DH45aWz>b0q9@)aYP{9Bmu?wk$1Z$1a&z>p6;T&fh^~8^xbm&WwRB4_ zJ9dG~k^IrOn4>N*i+(IltSr{BOSiPKW9PXX$sK)bdDMmFq9MhJ{>2)m>6XTJ?3|Y) zH%8xLin_ogdZIW{qgdm3x}~-qJICcn_UK#7qAn~GU00mAvRLClx}}oDFt#Car!FG= zLzu2KxzkUFv+^0c?xDsY)ZW#wNwYrl!z@K39=p!Jzr&bFUdhpzSKuz#ER zenq9qp2FC@77=cFp{Ir{bv7oU)eYifH(L0+$%SqhvOK==*;8d_fMOzq;-|;&amxXy z90E`|aZisMKt=tap+A6%2!M*tJ?=ap)C5A^dwOzAN%079p#es1EDvn#`qPkEuyGKP z$@wQx`5ky)_b}gcibV)shtMW<1cupqb_icezRMt#BR0dLOu9%K5=ANnm(Jdh|A~C@ zU0P|gFZx`|X!7{Y>_3&C7Lo_w0Lv)D`LO&KRMO;EpU4uc#x^{Cp@TrZJff&r#XXg^ z?HIP<@r&b#n=cRSn$I2ZC@zG;e#dQ4kRA)BYyk!7?v1Q^P^}a}K}rymkrq3$;{gyp z6-+S)!YCjVJHqE#HG9LIVH;m5`rN^&jY$C7Bt{doBCzpKl$r0UkzF0z@MV=0v8&=vaiC$4^}~G` zA_i{Ya^aRu!4+!KVlN`#yfz8-Z;`Q3$M)~BLnZzne_Y}iV2Op}|5sqcaHrx=WI`|u zn{JO{6r-?--Dfi1%P4F_0c`%0ReICa8}WG&1gK4GAjCy7J*yeV-nmOq@*N^+Q#K7CneJgctc8ffwZ*ffg0Bz>C-gp+#)T@J41>Xx_xNG-$1Y z+jdxV_$V~J#|;*tY@t=Nxi6p@bJ*k1hF*z~0u8uCM=h(!CaDw)!}2U2jd^w+5hu;d z5uctIi5JF)oS}#b1>>vr%FV5vA-*>|A|9i>iPlmAiw;1BD6kZMolp6f*1HYI3n?mf5hLHP}6u~$m z{>l)4l_K!V7eVRg%pxf9*erp{R{rV(|I&i>CrcpzS0V9NmiS9s+qA(}afGW{KU~jR ze=QIF$rAsbEWs!y{?ZbEWjeeM4-6t37({s|AQ0iz{tiTcw8VYfR>xz=Fzk+`Q#$Ab zH8D@aP7U`8&}F89wzD0youp*Yc4mNqIxt|g100utI4!127V)eCeW>gpN)Oaejks4j z;B1^~7$~gu{4c?EP}BFGg2IvIyAxWXXbvsG3mpSXEZGd8CE%3*fF-x}po^tsLAqEb zoq{fAl>%MNDym5)#nP}YE6kFwfm>wC>ZuH?g-ZExku300cU(kzwS`JE;9yw(3;Rk- zZ=w^p66j>JFdq5zt_0VKDQu#uBtiFTwYBs{pZq#Q?>T*jp^n4CP+aAydTbQz;^9#$H$X z|9J0BkI6r&&jXD=HrvKw=(FeX#cdps;KOPg2M+GN|LV(zksutQhn|4Ia0)pD?2q3A zx1xWw;6L!|Ot#i=WRrBtMZ@Z>Aj{P#a&{v~P8@PTeT!`zZs5am8%G}au>Pg5WBzc< znGLm^B51fRO(cKrnp&)mtF52Ey;Fx5701{#P6Q>6$n>omMqu^$}~% zq?FCsS_!ZGu+#T&+&Zl)$YV#WnUhikvsWj)x`v$&#*t67Dj}=Ytx3;P__J%`U%6nX zgK)SLt#Zg?>ed6#Qh2ii<6qffrvr8J6KphU?z?TxJ~|YN-S!lLlRSYBedcuJq`dHR zzIBb-en{p+*~G^lq?kHv&_yI# zD!U{C*P@BPl<2faSN>sAOf@#h0*T&}tr~%g(8M1}blR*de=jMf92;bYL`!CuJj5Aj z;9rgU%t*64|N`aho*pD-xZS=*nM9iosyZRFlr=WF{DEq}-R=DsyxY z1-zAGCQhC6r+AN|zny^g#^ z65mT~?P;X?&TNh_+~Q++kEc!rI`T$Ie5u%4J*4`MY}5l>@lpKQr%o?)J;&Cb zM5=Gk=6Ha+eiX0p)G1m=UMq<&5nHQ+R2R!eh2jn##q;)Q<5V9X{Suz#tMcH3xFy@| z?T=0B`B$A%!6YcIe}2nL!+qPymGk$fk3VB4Z^b`8zIE~#!wZn%*j-5mI%x@d8Zq~| zpH5negN+i6%O)4C^!MXpM&4+;rIX7a51>;Kh_q1rE?wu3Y)DVmh%qymLE(rsu|DHii`g{JQUFB+Ic6I=f(FLhOn$Re%EbQ7#{8z-qq?23W0hIR7-79x-gV+^601oXX5Vs2%IgZmb%%K~ zss{XA;OlUI$IYjWdPW!y_?=QxF9nK0_xqL`@-FWTPjU=8-FM{>d}DCKZ#XnH|H~hj za}W4UJ}2#LR_NQ);ZofCwAsfcdz1Ps7oIzfHGlH$+O#!`Ru^?jKPsz!ySs3EY4Xwo zC44$c2lC6T0KbLK-@nE!zGARqeCN`e4hJtqZ=4>~(kYO;CN<}zJAI#0b|%5o&38St z5lMXsvOpP!&QT`}E&5>R8yeVKMCiX1*TM4+-WY5&7BD^N2cPPEq~-7^5Bip;oBv&X z=4(FkTNY;<_tj^J8uKmU9=-SScAIRFBIW9c|AJ8FH*UFn)`{t0N?FFmxFAL7BvW6Y zK!zx7MIm#oo%j04y$@C8rc0A+_JM!9^kLsL@4}{D`5FfT&FX~s+`AhWKk8Z|c60i% z{mA|VGem*hBI!1vmCwHA`XcQv@w%@W{KNsN=6qxc65?d!7p}*)TY&z*bD@0|89$Z)qbnzPN2Ma>F)}Mq{Ls~yY zsnBg;5od>tUavivu;8O5-*GLX(cGf9b>+l<1I9O;&M3cjAnL7H%zC^`yRdJQ4Ed6y+1R_(wNT?;q(;p&DTD9__Etw|<2 zJ9HIyUDZtKQDH$TiC^_TpeO-24pj*w*BxH8t=W4TrButYa?)86gSx6DW~>>+$u4Vn zAlpk{ydO-DR|SjZp~VV-Yk(G?p)V#v(+_~f9EZSS;X{B^4gKcm!(hJIAr=s^2M4BZ zB+V8WgSB_zwICqDWj7`^?Y~hw?5J6{NByk(D?IfW(gH+!KhbTMX3CXiG0npdV=aPS zPhW0@vR7!`x|)G0LlEIBbdE(F3Z7bZeAQ4OuF3DtNtzvp?Z}^Dlb~_QM^Ld+lr4P~VL|liE41K-w6QbMV z$(_#X&HT2*MUT`TO?Es36PF(EiTS9+w{9Vh@+;s~#@*o7GK=6SMuS<4#LmzKL5e_8 zZUA6@xiroLb$h1Ix)-ESZg5|(&w%EE-9DkbZ*?ra_*!|D77uGo^A2gSUMSSqD8F9IP(*Ct~C*JItziCq!;6C107jlQ_<##K2abQKt5(Pju>sO2DBd~1i9 zJ`e*G|0EFgE>~m;QfXKYmM)kzOc*vRpF6A|$V69-#jnL)969mJf(OUpYv{2(g)cO5 z(d17ifsTh}Ez+4@2_GM`o_@UcD)@K{o!8SYXGlykOF;C}mD0ElDV3?Y>Z`l1>Rh6m zPK<6kjjpez(_TgQi6|576DhH6lv}VD7X*_U=K|f7(sGucptu%JpiLIw{2YBdD9Q2c zrE~QIw@2BYG5Y}S{EEaKw(rI;33`cc7rs0+^!3>&;)(o1z2Ai5DY;Qx#jCpgi)&aF$X(>pJ{hpqK66TsWjyErZAnkTo6$*Le&u%DJzq z{27cgP9G5Ts}BT*)2-`p;x|`?-=a%6Z(TKa3A=tUS5R7;1w{D=5SJ{x7UC^-#^OT_ z@(J`=Bz4%;eWPE#`mKX!AvC3MXyLC(o0Gok*jX3`P045FrlIWwEm-J+-@*)z27|I# ztKb|*ljFk2;dvlY@VZX~e{lcIN>YDpdGqma>A(sLL*i${?1viz+bmM7JAB3x;!FF5ygnH|tLa?_Wms9|i14I537D!`VfjI`U_efa4c~S)2!|lpe!g z{nap|dN*}kkPWjKc;&vK1d%?Z{y>NL)~RPNYsnkO;gWaF4kfEguDN@;+wReMTKN3@ zcCMQo`vPz7lGy0$a6$XR@|(y-GCOYP5Z#tm=!lK*+sbnwmuT&{Jq_~M@k|{T`83&U z3J;dd#(vnBCfkjEph5vD)lYv_j-R)3nlsB2s%zm{PtxU9=c7i6&B!kEp)eLc5o7Ua zmV%9}qPjWfxiq8Fx;w=jBaE$lP)TQ`uRe5XwA(#yxHnp}J@1@^bH&z6@J&wt~#aXk4)EJ%c!ECR65qV69eEs#;4lGIAT3U%s5ptMn&$Nd3 zP4)x(s$G4U=UCe6T3C!#N|SxK*W@EccW6(|upiK4jc!g5(8GC;!I0m=qJGCiKo8%f zGwKB~&GHdD9ZN@BUX6w3X%gk0cp9gbU{i!UYKLPq!{p8{=;q4z5xDf+P}4fwfhlbf z1YgGN5Og_9WA&`MVaJD&j-``H7hU^9%3X-pNlwP%mbihbSxd*1y<|d0jK!89h zZ3Nq{7OYl1M{;S6IL>j2eB=^T3uOdWG~6%Nk+{dKl4%I;8ZM0o4)OH}zZ~7nLuimq zfZaiy|AH^*SoE@h55M52&OmSq_kkMO`0}hnTr|hUSw$+nXUuyFI{f04x?x#6x-DzO zGOn5DK<^H^AWRW#zWULH7NV1}?IMG!*!CXliz-@=EL$4jONJ>D&pf-9S)_C;kr_-` z&}23M2P41&0U_X-!8EWO&w;R)7Z)@!oCbD~xfW?r2fVP@T+H1mI45SM%=N_Y7sUGR zo!wXegPmeu`g-tM!s@<610O8L?sV_JllggVB>#J`V*;eYzTTcAux{V0;9A8$VV_`c zY+H(`j->;4#1#gv1=~LzrptkZ9N_4A309yFmtWpmdn@ul!*7=W9@~1H0_?uIlLK~U zmJZ!#{}44hAG{qUypnn>^5G{J{3y&waG0_EH_ZMUX7q&d-!S__ar&2pnZP=lm+_de zA9-Nvo5FG%6qenfU=>0IO9B+EJ5a&8LoZmHK*9P53f3v8V6}jPHS7ZlmI}RKIe>!o zRMpqNc?~F7?@BYsvRX@4>Wy4*sULpH5zK*#n)sPp&KFn9c(e)l5b1kJ1p*MQm6aC@+m?e;19?@5ZAc)|P1uXw)-BtNQ!pxiIN_jII1vBOCOyDah#m4_eq^Cc-_N9_&OOKuWi$NPz%#;$*TQwmyWHuPdN}~q{fSV;e>_a<8SH?m$8a$Zw;Ee$6uzyC;RgU( zmil=hF2T+`O841|^>Ax)>}mRfH&WKq4?hY#2%3(QtG-sopIb0-a#gRp3T$M(Rp7wY zg2rMBo2l~!9sdop|AyJ0TF(E5*}pE#c;RZc;t9^Ru=C#c^-O2?Tw*?`Q#dmG#maPF z#P$s(WI0I@<2|4lHG!{ePcNM|LDz&gL*ItdEJ`X*Ch;^i`%4nucAfp44eHwVr(nKy z(OWO5TJ7T3PCPo5UZfr1AI=6!-4vKFCK%RiN#gwk*E;rY^Z$poFM)@0ZU3*+iIPb6 zkP~HJLLzI6Qpl3*TS!8d3CTKbwy~X#E!m>%j6Gw|UWBp@vW`&37RJ8(@8=n6I`3J2 zpZE9se?ByGKi9op_xJi<%j3LnddHzA{I5~>X%N7RUW`!*7d7*YJ#AuHsc9`*4sr;U zqif=J}aBnoHSi~zVrlXWYf_*{VlVYV>sk%gS80xLa1lO`F(K82W<+Ze3YGV^7>vV_O5}+v0pnp?|{q;=!!tk!LE2DrSH;u!6fgt zOu&6WwfNv~YCRV!xZ$;VL-vsOpOt-9q-l4BxQk+`AWb_?Fa5=zboEzL$OV&ZpJY?p z+2dW1Ea#m~=mMeX^CVzxKg@yCyh&cr6H*5_a`5EA-;{gu-G7^eA{#+>CB?-q zoqfB-o8pQ%-S@oJT!frIr#q+DL^@av&;R5>Nxjr|H^?=!_5>i8)iS^J+Oo^9gvjsK zw#HQYuiu?&{`AG<3^T?U<%2|MQTS3nH4h2E9+bB!>!8}grFT#(`4umYT_t44P#OxK zsIA2CSv+wwTfp3>|CV2`eBzrhT1osHe#5)?z6kW-9P~hg_~0t^zzBL^3mz1%1a9f5 zlA$B#a3+S~hexn$2OPgqj93Om@{NE8O2h}}p$BHrgGcZK=7}IIdYv78&3rR^2|LEP zg&e&(^ar7S`Q0NtGy{-lWJ=VlF&I`3m^^Dzw>PvX7xBs)S|w~&8~V77@Zp2Lh3<_k zsf@$hPxq|z3-5`}JOjGlnK+8iXPyYo$dra!P59Tvq4U{gly~wLndJ3y1hZLgiFL5+ z=ph5+1-c`!Q=>-r_q3=#F|?o&^~!r-U@S>Bo~m%%V7IMjKaU>!B$smLx6so^EZnWG zS~}#l9Ff<1lXb#>n6j?xs`cIVo4boD?nXyn*iw4Gi<=(}Hq^J;XexQ3$2rsjyZr7K zJI~S65<#2c&vvVJ8I5osz!1V~9DJ(rTnDm=eLrT`2@CpAs%jg5m$u=#ly{^vzY7Q1 zQmRIfNR_1@?hX2bP&J*m05%3yF6_Y?W~J;>fpTzq2Ry%` zJVp7bg_Dx5HLz5Ns^-k}neDDR!Cnk*R-C2|@KkTIvRQq^!i-&hNSWNe*5CL`X@t(S zh2kxsIJbU_ui~)c&QMwMl*`@phSg|tM&GksMcu+7?>sZ4GHB}SJnY|F8(wpw8KG70 zC@hFNWNJ}Q@OZn^T3CD6bp0|JH-Ff7je2v~qDSr)i*7iW%}Q9=v$@!uBX~?5R#sWl z6+YKFVcuN0yDKX6q0KF;#~Ef;>1qiLosRjr_3xX>bQ+rP+Rj?x-@kh!E|yo-DUx?o zr?sa3h!{1CAwBgP1Si?GBR20RZ5*^FVdxQJY%)dMi;fz`y5J32JW`|`MID-rGstzu z3riY0-CrKHd9M^yrIjY`RbF{gC%c=;=6yP7^GZPN$b^Asi@97n86o0WG84^KU|o3w zw+Xq67)nBYL5Q=1)l}Y%J$PMV7nVtVddmE2-aU5w*_U^k<+^fxGV{T#S0yjxii24lj%qy0Ua>SI zlhi{LuIWZQ_~hC2Hb@N#STJr+SdsxvC`M=8F4wi&lDF(~#&{IKv$pY+To+eN!ou^# zTq;SmuNNyXoL8YFxIFxj)#B zc&ffK0Y#p=&t`c|`Fm86IyPg&I3{7D4&*)=byqjCs3Qo5wRwmVj7$YzRXWW!g&^ zH~S9rAiXpZ3}0b=_7daR1U(CA7lQUE+!pO%0hjdInvgrzJ7hBf>_NGUB}=aBC=rxY zXr*$P!2b@3scU$EuxS`!;@b$5h7qReB7-G2DQ|^&^!8HjVmBqB0ca~nYa(RSnR8q5 zPYNIe1uH4!(SPW|LM#OaU+J1QXS*Gi)zcu=^}Dk+tWuuWK(MEUQ)yOwO9iG*;!WNo z-sI%YO}Y?ovYH4^6UZDaem(IAJHv z*=*jn{duHf0z7g6!UnIN}J z5q@zpousa1h%?L0%riwYPJ?zI-1c!Z2j*r7&;}^;sw}XFcWy5`8+u2GpagVDLXZE6 z#DmUASuFV=5n*FQggL_qbDjtuUmeO=ii&)Awd*LjrlZjbn}A}eUMp?nmf3A9KHzs4 zK^p#-U;om(TB4CB*If`I{tn`ipgfpf@>+<*RM!PrxvRqdim`Zq=AoN)M0ROJL+p|t zX0$ya9!-SgeIg`hcOdEdgIuJBWRe&+sCs@zR_}g++dr{x{Pc6rO!I?!B(y{v$>5 z03hg8XUd0>iQ*o>ZMPn0jNB?dyd+rdx}6*Ryg1YIIAfGx}gfJIt8boqz`Q`$s0qHA2L1CDjk5cN~E^Q|C^g_^nRR z4rAF44nY_Yn$g+t+~#q18n6c;J^O-HU3U;C0#Hg}_y{EQ_@78jn9c?WDW|}rvxL}yU1n z*jAK;S55y4lh@pyYPUiMA*IQy7!Yebc-g4~ugo7tG@}G?L%>I$Kep{E{tc3CV^Ttq z{O#1-WXRCPE<_Q-^;WE$8RT*m)eBd39c>@L=+f&QO4ofpq4@tAQi7)(XIoYex*}*WhGAb{s^116d%v>zBfk4SsC_ zAzMCnqN>w1YZ^fqNNqThf6d%M%Nh>bI{8o6AIY}{?M9L??j0O~R$)5;+eT(e=!2;3 zM?|-i?tr}m1d||^TqkKjeF^L6IorSXzUd8@O)$_^NVB>Nq$`7z>HBEv3b%My=I)zQ zAE(Wya+xPWz#KO+I@p`=m$pNfeQ@Z)8t!+K|3y|d3u(N?V*uX(K9hI@cVHq@3>&i9 zgyT0uA|xkaNGkVS+@5fn$dcah&FfJJZ^uI6e0g+@;`a_5zxvWXuDs%o#~2()AGZn7 zHS29y-IuP-Zi7CU13^Sg8RQSjnw}K0=(uP=W_BRHvx~5KtY!c^@EvR*2hkzsAhJMPAP3oibCB>=bJzZD#WNg(5%lu#(>r?$2+lvnTNXBOAEW}&7JzlKP&+mH#cJ_!~(6WtQs)xm-o{@Ks%UZIDic$3W>s#Ays)MDnUIi0ULjRM!Wh zx;_l=t7PvC>DvEw29|7$Sl3nj?JV9>-HPB+GQ2s!EQ znXR`{rITervJ0gm+*!ZFnVv`3bKOYB;2LJW->qoz4>GR*hUX`jqlot>3@4Yz?bn$g z7@C}+z)liaZQkm?>cAIQ+#Py33(+6L@g(C`xEhmwvloA6h)?U?YU9I@YIxe`VQ#Wm zP%2@b2(`){e~{n7{mOh63hxhuT?3&4RN(>SL11-=qwx09wq0}sNviiXcri+lE`p`^ zv}h)LpuX2^+?wli)A5CAD9D4|WkWN;79x(9Tf@%<%9YCF0Fz6R!Aa->AHfYxEWxxJ zx9+wq9=Y5w1Z_3KcxS61qXk=qf=;hC_)KjX@R>mM#0^Xj=fG@_i8*k%n{1>G&iraWROw?Rm$N9x`(I3BP*_?*cY%OauBjrDgF}G;pEIo;<3sw+VwH6cv z0O-Bp3PZ}3G=FE$(UUPF4>^>xuhHUH8==sY3r?4aRVQC4|6iC1_6(W)t@AZvD|>5v zYh!D@a%&K|xzb95l-q1TZiL8hc@EJX-Pmg1nsc!pFL~N6Z?m=1dZu+ogOSepqJ{*W zv!Vt&&&0z-DjGuKD;?%j7EVW|0s{HC+y~#<&^tdgWn{Q#VJg9J&(f3!^;G0!J>g;E zQJ#sj8u2_6_@&gr8QfI*oa^BGsiF8SJCP@b?7HXccWb_tym5e;Jn-1a1M!=zCw&9= zEIJsEyY?CCZ~bw}zz`W-8-l@HO`YsQ1e7`wnuc6@=O3=mHDR7j7aS;S7n)TqeIq^f z%gm34VD`L>s=v*2r!>xObuj>g=0X@HV9@G@Iy`88IxIa3Pf_RWjcdfqglOWPM>>IS z5iY{GlBine$GDPni2fHCJ9R?|9yALb_8^L%IGgdxj30i5VU`13dd1L%2Q5v9Wkcbq z>YROXB^MC=379#l){!vGn6RIMVfNlHMHs%lUz)I`j#GGBp$+H07;9!1ld|8^F(FzB z>vO||DHm>>He(QDW2?k^WWb`S8;8dm?rL(6|NJ-)bP;nHyFStyjEQ4^WL!%)>5t!C zM|gm9JByHgfjO#fNX>&Lr_KEU`)3Nu zTIMBBpjXHT*buV(Q;Z1Ds9M6_y8rwM$GRR(sTD`==ft^r1|kD8=9%Ixivp#)GbTqJ zzs+QM`LBNywo`B4*l(|P-=#X5b|8AT>xm0jnaI*iQ`#X3x}@WyZFKbXTJ+)co%Hk! zS`6U~A0jjigv{}mB1{61_5|hH*7bPftVokYt1X!>MHikv`4CAb z-|CHdzY9B!>6!R|(-mw8|Bd+oxEJox+Bl7G?cSwUPAdNc)A5R|hq0TR#kUuagDtN^ zTV9Sw(wNk<1+*E}8qLfb*s@7%32xT<8}WSmWYW+qKPEJSKHwgT;FqRHkiVa&9b4Hy zzaE3s;vJOfTf8{`uCBx5F#aXC*c~~4$%UIf&D=D;aqn|hj^jRrx>l|zEVKhaxk{Az zH9`G2AqY*YlOk(Jhw9UQ$_pZfDxQ?Na)%iw&HW^g3w1XfLR>b?2|0%Q9kaN`q|o`A zQ|M!Zg9t&Sc6I0Z4fYGQ#}HL=K7JQ)y))*Al4c-a2x+ok$PB4g3*-9Y$ftp!-&<+>Dcj|`R|4HZp88nWw$HPp2caw-Q?+qF?w;LHxTU6r*4 z<|plGgT!wt9?>;CZ@^`B&f=WuIiquW=d{jgoVz-uoSu;ypB;o$p0Mt94kq}gas;RH z;ruc=g1>-|9FAZfew<%Hy>nc{ep`R)kJ7lqtr;0#AJRkXC~8Be@rD0wfc~A5$9TPZ zSa;$y_`e)G*sjYCw)pH4>tV*elR-d37}Fy_Lu)L_@@;Q$hu!6L+T+x~x%V!4a6s3+ zq5$=r_m@15-jI!FhmI{9pJCO?4ISHE4hF`Lp4iQ}knUP;oSPNlJ}RSa0x{T#rt~fv z$~NP~Tlc<25k9i*k;vnq>KAd~9k+chE|6PBj{iD3dp#28D*^c)QB0y_=lOxv~_Q60JKAwad?L= zLeLJ0jkQuod58=M!98bmGmOi}dIU%8=G9E`m=&F1=e{yC{rdj#=!2l?@_DXqOzrTu z-$Y~;hs=MKyEt^=SGfyAoWIJQ8`^VoYej?}=aXn>#=m&07Zvo+H@k89r68Ko-VK#8 ze3hfrxew=Diq zd*#MKX2h2(zhu1iyP)>RhSasP+$lfhQ^I{J%?BXsx?>DmR~c+wuCR4QGuGN{1Y;ED ztD8H@4nas9^M(-Ld;kJTG$XiBn@ZPn9rFOKYwHs2a!43vD=@3f1Dj#&8xMgl+H;fL z3c8>hfLpHJFd6UZ53#z3$8=g6(G;zV>r}k6goJE z9-^Zs&#oCSlU(;NZ62xZ&kuBekN2KyZZ!E_L9?Z~Ba9Z$$^GE!85-Z1U8hGCSnvMQ z9Y%|IyMHnIZY@JQa2slojEJb`cg;Ni(5X?`{10%zF ziM58D>V@3E%&B=lKCj}eMBG?-tLXHeP4}iLCi)^bWevo9#_K@oA%kw~HN`qXMcs7C z`)qPLuBajj1f#%+oHkaiPH=$1qHkh}7bh1oIHFBWZrtUuRVv&{7CLkG(?j&0tK@D} z1*~5rKRDp#T57^xC7q?FfjqUgtqv zbcbZJwJZVHDo58oY8r;mC??uE_t^f1izuDov)fEtm@sm&`TVJ%~qojV>q3 z%6}*`Jf*p%kr@)VkA2pEc6a=rK8XB2Z|?$NDtOREQyKCCdkv02+k1Tq-hmasK6)d9 z?3*c4(XdrfEFU89PwiiArTvES^E=5qx~R4vbuUG+l`~t zqA%~5QC%~CJn>5v(!S@5Ag-c&W}a_T4lAnq%u_FH-JkpD{p4{rkG`rDHjnNqOE!;= zDsDE94^#5vFcMlhNA0h8K6_nj{?ACLw!K$-;&rXOiUAI~y}rZnX-*skr*Tl0fo`~{ zwvM?NKwj`0b$i5pNQXtWuzU1}j}Hw%ZwMkj>KAhg<(}9y?L8bqc#0W{xDR54}N z==6jq+&{*NkOrTBG7%b5rubHM7bVJo_TP3?;8x7HFV~I)uQrSMgD` zyfhIn^2_Nwbb;n|%>FafYx?U-46VN!R?8@q*4A_g(co!c`b*+Y(I{qe;ry@)tRm5V zoOZN`T03G)LiAh>uBZ&NGxHk^;r&u}n^!%UT?7`rwJR08d{J?szG>sfp%8TPj6W2D z#)U#5D6uiU6ytZr0NjBrH??N#6$m@-s*wFH#z6K5bpqIlqZJ9ZRWwW-|7<#O#vfqk zM(Y&_JJ3wHN3tM_tSuaLM=BA1W2olyV@hMMjJIgMH23kwfqRAhexAZforeHnn{ z;Nk-X)}4lJCHZF}NUWq~f13!mjROJ9phzM)P{eM3h%emF!hAsvLg<~wn(S{lYT82( zvV5WrLToYD%H@aYjF;ElIK0Bf_xCx*!$jkm#?7m)M%~X)1}*RD5c4K=qLjB*#0RhG z*7;Z3R#2K(hITG+({NR^W>pV8d6wPjO^aN+*?Efthi)PhrYXA9@jTKIchZwkZ)Z?v z>t#NUH16x3m#@#C%z5rBxV0-|pMl<*%$+n3n)*#~ksx#Cdaux?2r-&_IVTtS^%e{K za_agMtF=QqS7gf-DaiGJ<%giZ6G^(ooOB0?flr6KTfT! zGI5izwM9T8J?RF=LvZV@0gB)H47v*c@T0vT*@ajbG%z|zLtevx)q5g{k96%$KWvyf zp{hlgpIa@;q|z+4$y75Y=L{~RzQpQ!EKqXp5)05dLDH!}v>E;XEiH^fAr#nUpw0INfQ?0311*Vt{an{ij^4QC$ll zO*Bb2uC*Y}M3PnG$?8C|y`6$r+JjU`Z>s{NLLE&1lnROW(nQ|2mQlGH1p8m>K*;}g zKoHJJ6M@({`bgc^Ptc-CCbbs5($MyI@?$JWhvBq&dr_oC4-NKMt%bEU)QcoXhQAWC zemw6%0rWVw3`9?>%TV;B#`EW&?TpwL%7~Y4f}>iy27vi0Ui|rIyFT#A84y6P=#>L} z2Ph6qP&87cQ=llUtxc{8T`YI9nJQRnmB>}F&d9-gyfkG#z4fGwGP=@CxMoZ!moj>A ztJ5Zs#l`FOv8{@?@yVX$y74lS9KkYYz^@eel?J~u;8zy>%57&+Y!kp)d6uiSR~SQP zzeIotXBrPDAlLvnE-i=CpZ|RySwyXqqM@0|OnX5#BNQlmmP3$PbAy5(cwrl)iCPQ+ zTw*tN?bH;$4&L8-zOzXi+JJHEbUQ5iM z31R<}sX(1c*rYKYXYIkq2>jV{q-n0+idAoBAe`Cpg9JpxAzu`t9SOLx799>}c7Jt| zMi)K(gEEDoj89F09-*K`qj(d1=+G!W0Uvraim$}cpg?sS4<*SUfov*x3MaThnUJA?N{RRSr1=mineXy<2MbYsRlk2en+?LevGdI73*GYZLS zQlGWzqh0B-PC+xkKdfxGxe&?EzdAI@<9?@|v|rhR5BBLdm-_8y-+E2E=rqL&`u7>Kl?d7{g+8{qs^KTtCO$P97n5~49SJsMVt`z@7f(; z1~!$gmpo*MEHIG|x!S*H0ioRdHH+TfAkb-O%nripR6l%OWe^`$)~&&O_{W@u^%dA> zD=R^LBEq0Pg1k_l!9V7pJ_&-M1uybmD*t5Kzdwwl54_M zHr7;mN`%^A)3nj`8IyS#rTHD4AC6C@AWxu1yYk&iN@>9TH$o#3)(i+`y>!WEc? z>^~MYI$EMOa-(~~TF?u*9Fpv@m4Vz^l}VD%Sl1hFo$%6L%ukP}-^d&b$&d;;EcG0f zE%Xu}?@GHWztzu?WXSHvJMth#lxiQ}$b(m+RDR$i6SVMvMlr<&nhNJk z_iGj)LUGrJ|22dEwT^}Bik-;2&CwsWR%W;mxm*i@7jR}*zcBmO);<3qM&%zMo)Ay0 zKHBdEcbo47(z+CCDJ)3q{-(6MsjXN`ShDP5M`%^#w`}R=G}n@=f-3-I}V~AYsV(1WAccDY*kG8;t>512kMq8}s4db+n4gaw$^t`BX zV}9`JkMW{F35AW2!fe8&;p%n9C%&a@+F-D^1ur^UiHVXcIFeO1pa3q_vzhb2F9QDt|AODeD-~dZQ7~7}KA&2C`4i`$~ z;L4pRaOmibe#MXbK|M2f?Y_vA9K`GnOOSIPnl^`Qs=oo)RPUhYI_x7n%bwk1hf|(4 zC=4L&IW;4Pa+L#%u~;&;*Xu!xNwh%T&o1U7&`qBt?EQkoMB2=N*BY5L>y?B&_fWka zv`kPwY~Gfzc_X+~4}sMGPK=QXizS>GLW6}c2nJ})5dkRlkZ6JOdgpzJsM9S&gD+da zNq#E8|A;7rauK&y=XV!F38v&@{TVtvJU{8S zdIu$GEqg(vUSRPD!*vEah7S5L`s?&`^c@`)uS!tYKKY?N6-u67b~*f2o1p*I!7x$ZoJuy!WV~4|inueDU)|4-n`GVa92p zqqTBFijPwR8kw3|@f&Ug>ip+gD!Yi>EuhZUaqHfBhzW^I2cZPbW&##cVGH=&984b7GH0-yjnz6BDiem7>9c%4SPdCOuIStj7OYiS}uyOf@^SAE%2>?bA>ExVIbhh|gpJ zl6QSCvKH47!@vDkz{`V4)j^fgb{Mv?aI!BAR|j0AAlSO3)D7|%Jyl%*mUNL7CUe<$g;4 zaO^!_mtMAk?M4KVz_Fh}$~ryPTu{mkIq-fs11XJ$l_&v}XoGaoR4E();bebV)IACK z9!jA^#K8qhM24oJ#ARy64mA+V23Oeoo57|3ZG|0rDYk2aK__XK*5Dqf5-!K!jh~3D zI-s80=`LnVsE4Yc2IWu{6w?U+wcRM#$QpnvyKX6-0U3@d&G`oPp6{C`=7b*)TA2;5 z-RfNmCVY$~TPg=(q?N-UC~EIC*474at!?0nZZ{nlOcme}Nag3@Pvzs`OHK2jBRj(ragKe=9mWo$U1hqytVM z^mhio_rR|U_`MH)UBR#0b~+#?3Bb0o%SBWEEJTQFyW#r79yrY>hwFb{yF@;N`kxto z-L6*(u3dGxLYJB~4_UAQ^vh#WiH}o z6-mP2uY>O`00ut;C_P~}?Q$j6$^eQCJS3(VU=$TeLic8efEMh>!6*{kgo$3TBKlqc ze8UE;&<&IHoSI?Sy1XXX8J_1H^n%FY@hNCUGAjrv_P?W|L?DKH zwUQ|A8Vo?`;C6TJ(@pahHQl7kXFq6D=<;dDQ;e00>4lp86kNZn1yF zmU9Ye)FYowEJKv1JqO)`%?fmzogUD!vGa-Ht}tiU6pX{6G6`D~Uzph-TNM}R{~oAq zq3cXr;4@s%F!~m{Ke$ z58wN`X=ml0e|<9i`D>+NRo*@x@3mJB(R9;)RA0S@Q7oyv*gJ46_CVuHZZ7U*E-tR* z6I>^fk8{1UWfQkJ&NcF!Y^1sv;~*dugF4`gtB%4r92bi5E0sS~BulLmSR;P5#=FL0 zSin${f>E{F`?13?tDz*B3z7oOBvzV`T8xcKuB$f9b;} z8uZm)uBN>z_d^HJ;4#}dd zX_VZ2H4hsd;^sCbEi^9K@=QGAZ_F<~pvYTurP1Mc(U_N%4LmixjShZuo0JwBruID6 zV20<+l!|2FPvaeKoQz?lY}i|4Rpp>NyP0`IB#@D3BI$NFGo1`llNMV0`lE@N-KAR| zp`T81G6ny~o`*Ji-b{Ub)4JDqI@A85)^w)pLywjGRiRTMn#eI1X70t#2n6_Zdk)q0 z2^~}1+XlYL+y@44_sBbpwX(F1_{iFJ*Z9cVbieeGwd#KCBWwAZT}<;^r^WH)-O)a~ zYxLeY(4CC=m6CjS&6zh2lqX|;DU<(I*CTjPy`zl0`qXYFs$D+w$l+xZ$qh!TE$@8f z@Rz}jca>9Bm92X>(NE$~>DSNWEKK8iMFVY}0?s6Mny_cl)pp-(@3<*>in&F_hck4z z_NL@X<`!k2kw2DFP8?XVY_2Blnuvgc;7i(7L9pYCeNWK(*xZe zyF|01&&bnZr<;#$EW<{_oxZ!>CV84M1xprpo7 zb9ZK|zcx55i^O2E@IX;XG5te2ti;V3^KfCPs)+43sR53G^osVPmaQ7|ALS`ykAF=4+w__Xr z&56y2cqT>}dV0YBaySR0Mge+cOeI5->2=>3dQ-F{zwq09J zyVjd_&r*X1%dV|EyVf&yuOUyJy`BJgfw~`>{haQJe5_nQ1rEtpS24_N}PusZW_B;LYi?1zfyA->&Xg<80@W<)e0QnUSqO zW}cm~cq1RVdrO~e-JE&W-ePhqEpXAKarv3cub%g%9+^2O@^sPAnJQ>xa`IIBCF&}w zKcTs3>+vgZj8|Z|wD=rV5`x*lkVlbrd-dZ_RK+bL;)+%&=e(9d8)6?zp@ z5m?3?Iq8kpwg!QJR0k}|Dx>Pk6IndTC-U^#`Jy=UY{^rBpk;M_aAr)u{CJn{^V!@kFQGj#wELB@Pddna=S?ZsSl%RWw&nNcjw zCAzv2Db7G1>(UI(jwTk=^S|~o3-gy2B7={{4ZPN6v%jkP}$-gi1@Zx{$?<`QjJ;XG;&-a(t>=?Y8=W29Kt(;huc|&MP$U?%8q#(mlO1$W?tAH0+?pCwB??M_>3RlKlEs zLhsKTD7<=2Y0#&~7pV88D()4zShD#y1w%t~LsQxOjkP20&oSoCa~=0{QtBVeiXWX! z`*uSuh}_*;rdyMf2r?m)7pajc^{TW>>kKr&BL-jG`+8Y+NE+{YVQa&E_SAU*(iW-i zSmzvR{0*0`s_D$f{d(l3`9y`rL0cJZnUFYd9AP=F&^KqRQI}=Ra=mYY=46OL(VYNE z%tL-50^gl8udY7H4Jh)UWiZV!8C_p~qov}q;U6tujzywvkGhoSA?-IT2Ne1yTFV5F zQgq*niyWEpeUuU%oi7(f;glw{W_# zJScHmMJ5e88?NA!fH+iG0dah4uElKta66uJU8wzB+fiFvTTuI|HmvqZLDtLUKriFZ zn{6M5t+;|aAO68Xc^Q~R1P7%b_;}7i`4)V{)dLf5-g{zT5)_vNFk9MT@Rm>|CodX3 zOB7PkU`$RupxNQgOAHsSH_6E82usqdni@ea3!}j=Q0Cdbk)sF;8uCGYtaRo)hR~Aa z_jpjIYd&%eabCaa}PN!%g-QgQ3EkKXyE0^XgX_Ruu`%w8X2JHEoj*skn`9( zt9xwET_NmB^J^6C(rrRZeP_e`;@VovqSI8vL@odH`#=9|TUe|4i&Vz!?ytS^Gpha& z+c88f9X}cuSF0P_$C1e`QvFu2+U+oB;7%j2Ka@w`8oUR!dm0;8yCOYVB5)0nk$1UP zuqoQLuSCqSE{lSmhP-l*k^ST#?P0LL124fF#gqDPndF(q4a|Hk)daM;sV}|eXE6O- z^>(q2BT$B^r$zV4Q}U6;foETi01g$=2}|ie37Bmsxc?sKmzB+*Oh`@nEj4j0_M&e} zJ`Y5Hu@_tx)vX&o%S!h3>&)r3E^=Uc+-P|B*&Z)F+LP0|(Ih9|tBb;}lk%J1i(S z{rd0yB$88EG&_QLC+g{(%~2&HJN<0-0V*o`Rk7&P`bu$d^x%mm%&37s%AhP#Z41}P-XcN41-$a&LtzdLG_(QQjGIB--Z5d4`IWm1d3QnIk zG;dkM%gJsy=SsPHGGR3Rywbdi2gXoYw1%&J`sUz8S@t}zr}kh5uqRKE zKmTmsm66Nelcj3UdeTc_HEI1!sxUg!vr05%1>i%4hO7g8sM3%voD!Omxg*4bn6w7r z#3D*q&HZAgDqUSKKV(UTIv|jsv&r^5nV34YNb#*P2QZj>U1Ul*2V`_p^pFxsx^~=i z_hzy#{P#}Fa=9RaZJCO+96t@sBwYl}bk7b1NT{j4OTc^=Te6m&z)UNb#v?6Xib0)N z;3(=e6h)zIo#0NFQkLBVK`>?S{dtFaN>Faap)RMNjTgNwk=3osird9uS3Jy*i)g66&ia0S_g496 z{Fm#9Ng5ZL5hd{YO}AMp_?y4M5ci#d-^(TijQbpxY2*gqtjtXg)lPh-X<2jtmtNf? zr26EkqU5Ir|C4k31_$2Jywn36dvth%SqL9`gwIanXd1?1CI}V1MHPu?3N7cOnv)%> zELxY&0J-d$2xr!HPt?3UUb3FsE_ULeFZ=L(aJ?jret=$w@X9w(zlRJ&T!1o*7^)`nSE=d zvT}V$esg^Zxw%vtwux^TEZ%6{8m-)j+*%*p4B27`*fiN%S&rGWF{Aln*=5k+9Mgx3 zyN!50?A=q|cZBjw`U5oG7t}-JX?-#$&9f2|PBmwRsp-g^bk4d^I5nIVZj~ZiNO&N} z^MRbq&6QH@h3_wJ>My`|#6B`7o)?dQNqQ+$vFk&C>+Tm#@vojA+n9feW@Kv+>WB*2 zYcs9r-|2YVuXE{@;jL*U|IYanew{AK;QLkZoEtn(0pHcZa~|+K4Sd%G&-uXfbnsmp zJm&|`Gr@N~@I1@>lTWM1s&0>+OhXBs2i~IO@?g=xr+D6oxh&yJ^ANzZ}1L+j>@K{gN+^nx{XCbd%<4n&VxExVkT@k*bn-gYlbf6+BC&%7GjzM750Q<Dj!>Yh?#OnGPj{`T#TZ<9^isc~K`PhpH_}Y)k9Q7|;5cC%J2rxb4 zf!AE?vIP3-nicdJoy}64GKhz!ttn!Ps40>wwD)8HP&qhgrb{)r+EGE!0}3P#l>sZiYwZ~mX^WMGwDp3Gv~~P9 z(pD-5X-nV)Xz`EVI!8pM@+a-8LZM%*F$@ppo<%HXKC<9VHr4Y3wV&P`3a_MRJ|@Sw ze2uJ%_@0HE9l7QGjMX?PD}CrX%1V;n>@HLIV%nptV1OnVaIN53jybtS*W;k;qsm5iez1xP2+I1*Dfk{nMpffc3t8mwz|Rcs-PPDOzs6WA0nH1eiE88d;jT)zG z;u+lDoy>fU8S^NN!UJm&mT{T_FFm%eU=A$v#Z@&D%ny;ye+W?)VX)f%?{*^8`??ps zmnz9sMcG~ZQ{JJ_n%n}@!VLL!dUPiP-*S@r)2OTso+YXEO;QG zBz^tUi^cIX7N>2K@$6RWSg`LxSqWC_!F!4z92nMkSa^!pTU-TY%52CNL35g3S2-Rq zaSEW9R5Rw`>kkVH_`Jn4fw&BaZvk-;5N81~8i;kkXaR3=$^cVlR{jW@2q10+;(Q=3 z0AhVG8c_Eg{2qw(0T0dHN_suM`5}WCmS`EHe*k>W>xcIV*Ae)^No5v4EPC|fj~Iz> zM*oKqy7t42wnIbh7jNu@QvbcNKq1;++8yw!?J%S&ymo?w|39l>w;AEDY}J`4+_u&J zp9s|DCtEclwbj2HMh)32(Z(m{xwiw^A>?8Rd&rYjLpOALp(yr>C)w!cDDxyBudQ^-d)Ey6DrG`r0eP8(zf(m8 ztVLQD0P_!Lfy1I=fv3|gmn_5xRuP_*- zYrQmJ%1XYGSUScf;Z~27jMv$Z+7* z_lz|zNibtb7iHxkprArk*>+FMfxqrl1sw;MuZ~p)pCky1;`h^p)}$0%r8iU(39^3z zA|Z|5L<*{;XZ7UO83R>mF7NJuH$t-yGOYwSQ2RF1*92%+B^r|t#lPPNV(gBR1(2^Qb7)hK*gP81coS>a5O4J z7FHhOK&!m1PFl~{2><==nRR^nM#e_c_iu&Yztw&J*7^P0==X1g**VLt`Qgi{t z=GI;u}v1z6K=g(4B4zK9UMHNCd;Af=v>^H=>|pyu@ZJ{XMc| zAHYu3@7~HQIu^UaaJbEIpmYE#uCoxRwgtbE2yT%I_K^sZNd=Td0ijr~0I4;0Hf<*H zyS9JhA-RS}BueEW5xgfAoFoybJSG_-Nfb;t%0jE01FNJUcW>W?=piLU>}DN?b(8P` zC~aR7Ms>@~kZQ~A_Evh}lWT@4ND(1lBsB2Wd0upTB~xTgI zCgEx7DExwO{pf7A?_zeNE>E5FD)t}(+%YugfuxFnyIYM<1Pp<3Jx&0|l}*HfD6#xDTNNf3GjnZDe0*!0<Gw*#Njmpd?*tyAdWQnpX zc`^bL`m+!wp%rzQg#K@K5)F}1T2Z5u@Ja3y`G}Lecs|KUGo^7e6{^9u*IEvObz$hb z?;OO-79etFUFnlnRTiCQ3BCE<`{A$CA8GO?JMqmF06;xb1BSkv zFcBQvCJbNswr&5{0`+)&@<5f&l+vfpJj-<4Q()PHpLZc?zmqJvtULVbw}&k94V)N^F+WReZ1Mel zAr+932riKdejyQ%5d~`O%B{!}oiTY3E!7n5im+TKW>uS4wo5&2?eV|ZeBCMUMI7Cv z(^dXn6IBAHiSfdZK; zmmHi#(#C@e7HoY(Od^M%Qp0G}k`os2P*%VLCG0PbYEYmw2bYKn1nNIK2-xcGtpt># z6ZpBxMNAI1-3|CJ~5{3UDL>T2jFfQLw5D)q7S{t=;XG8Qu`< z-%#AST^!5+dvN03DIBH)oc8Siro-To*hUnfRzL!4@yZO_wZfMx*c~Da#|q zuYGddsjxTdlGMOdoJp)jokZ~7i9~RjM4&<{I7<|KH3unKq4deOox~IMUS&E2N?RZ^ zo06mS1G!e|V%9x=vRb%~tzF8p#|&i1pw86)`|ey&9m7_1PQQBq?bT+`y2#=XTzm(m zX^>}jjH_)|@BfbqT+oYv?^7-09^GE0b=Y7RNxhI*oFxi(?#36~4JaRO7j=ml*S0Z( z@;02;{ll>E3g?x1a>SWH{0Bg+UtU!p%|>8lVfgmM(lMMyLoZ1JGekcCoc!+u5@;0= z8F5Q_UgwfB`jzptP^$v4T4o)KL}0b`qfuW#_B4_XUn53_7GzH#h4Tj`K9)$!L_iT> z4x< zsycCi2P}7)xZEpvIp(kMa)A(PFfyFP<(?9kdqrHX4PGvgxZEY;a!-lNLBIpcwZY3V ze}$L3CFOa0aH5ytne87vlF!1doMl1eBcLp;jm-h{l3ifr+>a7D^Ipka3!4req!nm5 zdx8gdluEB-Ux5cN3obgdQM`A8h$Te6?ZwgXC{^nKA+`ydcffjnbdPEsa<<&!|}1>`l?bgh2SjlLV8a$#*y&DPHibjW(6-y2b_^l7!^ z7FYe`~PF_OTejG z+x|;YNmQuJp(2@*$gmNG%q4TE3?);UXO)yP?961Uq#Z)$%xN%f6gz1fLTob`wt4zL zYwd05^q%vcbG|z7^?m>Mx~ykEYdynyhWq|Kzx%$|Il)RwjKlu@fWA*bq?#nT+dg_?9L@2iRJ0f)AJ0ip(`W+FfTP8y8dx0kP-2iWu zBKoNx637mOrhx1~&|NiD05F1F*QHNs)SGCGY+i;4CEk=f_!Q4s*7bsONR8C%jFOoe)p@mD zo}!ELUZUU>gj_@9XQ|miP+;WQE8PbnuOENYfbIbG(VbnnyPEV$S2uLIj6eC6ZvM*M z2)LsUTP_0}2m&RA_cyi4Fu=ew2kV+cv&%h=eg>b;OE?oJTUcDOiBj@Y!e-xvH2RDP zVX(y9ihp(Vrov-bR%3`3tscD)Edl`|LdX;bHW1+>X#ejt8@K@l;RtT*oSqdtbzTd! zAUyX!F91Lb!3rTZvl?(({xsq5$K`%QTO@!U!=Bg`Quix%2*kv)#lA>NPNfsXzyTu$ zQ8*CfD)`0(Q8<8Et+SMX!hxVz!?4F4K;Zxtx+45a2IwQy+O?e(1i1l^YO)-OGlXK; z1QrDalL((~{G^B?0rQA^=+2FJ-}mevi;#)p#ZmD2phO7a{*^GE3>1|A zsA!zOE5aORKtCIL&c~{oVoYb}fnO*Sj-@BatZ!xl-`3oc12FM~=95zzh`xsd*iZ)d zDB^uQxK{`FNyK}Cr}`7{R1@kKfO11n{(^X44(?6BeJ1gK6^1mMJ~gsHJ_pDm6X(E{ zdG-?RieD3Wf`jvRJ629{2x@+ExCR(V1dSaMS>ahfC0_p0fap;4U^1*u#~#{v$Db59d~{ zm!D2bC79pHJMc7|XGn(>4LFSsa6S`19I8(PhFEHr9&I)71nB_MM2wdypa5x#ub0qx zaqwM;5Fkyw3CcQL@=N< z1Z>iLkJ`H&*l)<>@-Z1n(w2?TI6u)sevyu7sUhBg>I5j#e4h!b6C(KynLHx~N5=q3 zA*yZOs(JQHSOetTu?0>V5LrbpwwW+PL8faEV@(wVvDB-n$-p;zg5zCi?a4gGPxcL; zG=MQo46LR$6#%1)M$2V4&L*N!BTCEUS@2hdaS&yl%D(~}!S-j)^%;bqFBocB8iuBW zSnbe&jmUNo&9Q<)fH5D1m{!6vpP{fRF)R}d1w)tPHE=wYSdh84pk+-9%-Vu4go4>~ zjvz`jqq4hnCA3K4w8p=EYfS{vZ*76!ngR;vH7!Ec6!;Me9!WGp(WhvR37wGTC?Fkv zO-%39nqVK@#F_$~wFQ%F3e46POc4s)Q=zvMa!lys@qDj{u>vxQwZSpqCS4O83shYj z9INI4!Li+)Hq(tVb6O_7!Cyree4$9$qlILFiBoH0addM}KrC+d1PI27LZK0fwb8-$ zHPct}ShFs@YYM8@7L2SZQ1V>UVwzCUZ`-$_(r}2nve(7Ac3(LNgg2pr4g&eWmv*)5 z&O!kQoJbTS2!&mVQ+WyoRlh03%e>DJ3U&4P*+4-zcZEn0*HvUWOPQMB2}j&AtDuP6 zq?t*V;nVRd7s`)XCX^wwyj39lwiyn;(QSmoZwHRU;kV$2aQKar5PqW}gx^RB;Ws$a zDgNdm9AUdah_KNUVtw1-Sf8I408vkkvg7cZoz+S{Yc&?VI!6=iXg1Irnst6v)nfdmM(nM^+jdGZ&k~*Gg6Tc%i zq?h_G`iU^@^Hb}$nX^feT?#5YJF=ft(CzLzI*U{JXG%k8KJf1+F&^1xc8XN)jMSUJ z&G$?1KVhLAlwZG13^Wi9GCe!OMk-k7vySe$yy)ptAJE`2-@1=ej|So-tL`q&oG$e} zyCmm-9v^$Q`}V`;Oje?HaV~h2juzW zoYq_l_>Nv1f%4%M7@XL4!o8a6el!X)_Z-R_bQK; z^*$BoJy;S#3?2^YfuY~_)L+^Qmfjsi;j0gxZ9AE_{3XNBnaeKdYYAdkAA6u!fAzt_ zHJ>W3Jz8^9aZ{`Pi>fW_$|r{6cjKACxed)jSqqr{(*hk*PUkdGICOnwXG z)utw?j7+YhrG1X`hLl~XQxh(fz6XrC=Ls;DIS^S+CV>QBDLR8@r;O}lY1!G z41pIxY5P;6`3j4xz{=B|uWSs`uH9{5Xd=a4Op(=Peu}4OYg(GX!ySfX=f<-4g^znT zYSDph-@rGcTaTW3J&;V%TbSnC1AW8Moa)Hf2T)Y>L)KyDyF1GawF+(+m|WxxOq`pcP@eiG@VT$cDrh zjDa9Tma%XzjkSE;<~I$a;L-k@W=)c<8JoZ>8^)6bSgMAa|K6Ahn!{4HMsxnf!iatw zfM;fZ_=idVxef@r`|nmZW@@4zUO-6Vf9lqJH_F<%d{=1k^NM?G`P!F(1q_a$v-VR! z|H1YWw31MDd7oMluuKrudHB0sb9@gzC*dUGKw81_9wvM4+q(fQU=6%a6DLIgtgMxq z?}kReu79xue|7>x2T)}IC92&x^~(S*!n^wbd>;bg0wimUfzUxeu;>%5LGS6C}bRNW{4D;#64Bt=a-s zj^Tf&%HMVH=fvIFP#D&r#@)&uMTJxDs}P*lwHtr5G>r(0q4PJPbsHzhVUXbmaIjht zG*N48>+FN}MuMu%D0mzP$7((iXe;@80kjfc(Q{~KVr&aIf*X@zHHGAN^>u$9@&BCe z0_7iuVC~nVC*k<{)#y1k{wN0^N8|3+8B(`3YEMMPc{k1(-7)k`)66?JpsYz0r}{$2re~{R{(jxF(0n&ATNDm zMr}upnQG(itg4)vFV)IlrhDgnmt^vk`XKCwI`UJ?%j@bvC{n)rJ*^X|*p&3!R zLlEfX`>hXii(%gd0ESz?OiHo1_OvfRs4!lmSNjcnDo(m@d!ZP9cqAyz7SHyN)1SWW z`R)Z>yGMyU$!<#wQG0<>)&o{e&{v`NX$rX?h#Crk7?TXpNm6&^5p$r|cH7oA5?R}5 z>I0A~`{NJ*Ouzsz=kSZE0t_Geem@%&zQbW)&A1R4$*MK;OMslfSW@}&$G1+eA;JU_ z`Zvf8WX3eM!pw%K?*yoWxKz`9U>(6$8em$#cwT^q04@6XZu;x|FCjYL5te7kaa8sx zfFin|u1))6g7jUxzsbh(UEE?4R!~S(k+<9IrXI82rNnpQ%KT{d0q*n&)D{Ehb1?}- zl9T!5V(fuqxWo2gMDwe5Cpq~1{j!Q{ev!5m^nH9wvHte{F&pz{*rt*PHr1J0t<Mra+ytO}11AC4 z4fN00yY%=%oTXuW06)C@D{Ms)_{+peN00Su%pih;VVMOHZAwDbW!)p3|I2m^ks%T7 znD6Y(R+u+JZi$6PVS$I^#RjlpbIvg2*D^fVMtbHu`m?utt+5Ww{beGFTX6^dZIkME ziLBihKuGM9AsDEOF?_YwkojrMhu}3@NmxG+Bu=BHbZWLns zj%XAHv-Nl^+k-8tw^dOby9HD?xaI3f#Kh`Wh;JL|vzoDUxyU*$_zG2k!}OVXS7X-2 z4Tph>v?~?QtW=a{ZC5{G+k}Y%Rr_x3RfV+k4GI&yB@(q>qDTjHssPum8SBHJknT3S zu4)asLhXRMa>;ZPMclpbOy3SSKX?mz(--bI71g~P-5{ng*11Vlm1SeBsVUWLofnFO z3TicG1RgRO$C6EV$x+6}9)r5CL^6mYETNu)S&lln)b9nO=a4iV!G|1&Ug`0+y>E-x z)FRo(YPaK(1|xX8rwWc1-oWlrM@ThPUi=ed4#v8&$%TNd-k@?jE>!`MT0BB~o4y znaceaFRxP!Qy}FOk=X+B*H7&_Mam{3b7~)WE*~aG$_VPSgXgllWJz~{`fT9&$*>co z+d=)us;@pcQ|cQs`lO;z8z+|xDu7%tWy;5@yx#0As>-g9+aot-7bI7Kao*dw2jY6`q6gF?}7}p`H-gBfdZGK4@(jrW)!IJ09XD z4LZouk+1=4@ZTh-xKA7kFP3PCX%gZKE%18#TFtMt#zd@LmDJdyl0; z{lt-u%8@?&MtHPZki^fyqvZjk9fn7n4W^Y1kG26!>#YPdEx6+BO2z&Suo&h;VqCvz zj0RZX0-)6+xD_PPc5o|U(0%X>)T$L)3>@4&B-?>26$Mu-POpn&18WWb-A684zjN<{ z#tuG?95J3g8)?)fep-UC^q?e~ql&m%CINxtCuRsQPpUp_6UzxYfK)eBM7EY1N0@4& zKzW7pV=&wU;&c)k-Frk-Lx3*A%3j6D-k7N*F@ad^8`VWU2J5#v)Hz}G?Q-4V-h)&t z2nr8%Puz>vF}QyP304ABz%L-|j3XKaUeI%JCTj;lrJ+s`ia%lS5RgD!-~H_hp%7RR z*~Tiyc;C?zGlBx;@>+)pL+6Z>?cUSJwY)Nz3PyJQcO%55p^r3rlDubmZP;!7>}Z$! zH!}_hOue|g1^(5C>oq8#-GTNXP()Tsth`qjY>!J=i0WTymw&GVUf;hqNV{w&QDxNP z-P9e&bcAALsSgWE9oYI5bB^sc*$d-DJ9>q;AJzqP2dPls-9x`F2v=vuxI<=xUJF>cf1c(F3*Z?5o zK#(|3V3+v*Ab=D~04W~rQ&r>=Q9ZT4t4}=4F4Tw@G*_xszqtiK&jA2E%41o^!Q&wo zp!pln{AsW_&nRdfoB9^W5P%aPLss7gNxOfkj012m38;JL^n>{St^`5w_SbX_9B}|9 z=2g@siAUUclrp3zsbQ);Q&A9<>GQhw+sAuL{^|XSQc3C|E#6s#%R8N4Skp2_Ylkftdo_6eDJoqa!5Y9k? zOgO0jrGEYO)q%iv1%ZHtuLli5-|uHY*zfKk3IXT^B;B$5{}2Ozw}U@7O-$?{W4s=K z$pIa*ID>DNYZ2h!^jnC0)E*8(?T?E_wNpd1L#$jrHp~r#npGiUG04d7tUFfeOfGR4 zz+;B3Uzb@WN6)`$m^Re5SOfSEsBQ%`DD~2*e*)%&AsWMY%}ZtB#-1JM>#T2{l01lh zWHAKb#W>?U*VRh8s$%BDg4g#eCXj@Hf__k-lp)Wx9TWtBf_3}Q@gxDDpoaiRTrVo< zstlkOY>JObe4-z%tJ9m4OJWPlylnvJjKLvWKi;x4_n$3INy{GT=!-ZxmUSy|d}HG& z7^oO4F+p;*)aN*$j{pMh0vy^WqIxwZ1f0R5fY|UPc8QaQMxe&E0ZQe8QvQ`vSpY)V zF7ZX5VSD z(DM2D_liEo7Q}*ZApjca+;zS5ixnGa+?QJ~_rT-=UJLa0RSGgDach;R6z=R;r8Cwi zkF7TG-La;L)!HV^geE|G5dSR7^#|0cNLQS; zw@dTZan#~1q>ss~`xn&LE7z($+(JjcH<(oYhGMPSRgixblyGLfLamw<$e#~7dFF|0#mcMrM|Ar}niG?u;X4AhE6qDiEG>gUm)=c>yPGGGq1ayqRh9%jknB*;Iq3ASecOFMH)vm-yl{rUAp+5WDg)W%Xgp%EQ*xhiyc=^Zy!+ za)q()K-_HX8as_(Vg|<;fkRwknYKCv1d8*_080Y)5&&~=EUOFH8L*qcxMvUG2C78z z`@0RBN*1EP$p~t<0;T~O+W*GP`vc7R(>CSsE>%)deZTmJ>YlIV-U^(rc#(Mhz$MNP zw@=W47kbemB{FQ8pQu{7(`hfhp%CTqH*)MTk1^EvZub;hQ zyTqvt@((M5o~;9UsgH56Wrv^qDuvesg3C>Mch-~77@|lz`&4_*zZH)`1*Y2gu{(>F zvv>E2-v>4uTO~$h-(l6BNPt)}r($bQ-*py6?$^gfD2~}tDpg~g1px9~4no;lTwJl@ zV&15G0D6%6X;i-O~7jFO%W*Xy$Cq#5B{H@0Sw%zE0Yo!Sssa}VTRc3=!hPbFN zyD{vIzNA9{pI&aCSzABIa{B@-w=V!sfu`Q?EwVfqA30)I&E~B8x`If1WC5)S0JH|U z1Yz1kbV~0JBJw683Wc2|@}_dYo2vIa>)u@EO@GLX=DeZf_8si+7>X%+MRZmFHDAtm z(DR+YbS)7P86p^JFpK`B-V%cDhW%nU27$Buj%(PcQJ^&L6FS9k3TPWRKuI-%#G~VuT z*v%6QCA$SyZ8eUUDpgRtjA*H~i^70Kw9{@5Jn=JqjUifTTT+AJh6EdJxncaN*f0y5 z*yV;C&bnVKouP&+)>*Td>ka2M-h-d)fdK{$f$)Ugszep92??#n%vQa<-)SclPWx*` z`A`Tap8!WiM}BPxhX5l(~)J)DM28x;;$%9xE z?rbXX2nyP8Ye6A8#%g;IyOdSw?uSBjnR&nsh^go}2ZiWt#2Z2M@_|U4dCwmAt{&?yk(Z;-oNVHbGLnX!-SI>>L&?%9)l4Bz89(}+rUUpQE@P~y4RhxD95#Z4G8 zmrpb=B`nrmOTQGiw6u^WsTarB){)3HM@FK76*kOjk95$x?HS?*mzntlk-Hz1Z>{O{?jDsMcY5Sp_(9xC z5Xn%}DLekMZY4Xta2)q|L}A=1!MU(r+`x=EO%SQ|p#0l=`cK~6NZ|+Npn-q)sO-2? zyz~6xOEvw9vFU}<@W&&e-J@&&<{JA4q{GU;8E)qz|0alZd{8b{(;3q}Iwx!dKW`g- zzEy|0?K1JN#4|62*BJD8G$sE&Zgg&Uz`fVs+WHegof1#U1h`1q7cO!n>xViP-UI(I zblbC{JEyj_!)3Qk!Fc2`@|p6%^0xBo@<-&UH;><;_BrCC?qll{?33w(sTh}^yS6h! zyxt@F7B@1Bg@YB%K2&}RH2dHB_&3wdtphXT^xFBDM#0C1lU3pIEl%H^j|COL3|UTW z(P8e3=LA!B-?QJ?`3W-qfAJa!`V0CB-W9wf=q>0ac!S?ls|gvr-9$|~M0(3<%Kax! zg={xDEgd2YrfepuA{{0NitpnBCp-6ni7y<3R$_M&m_GiH1}L0O-~y|%pd0#qmEURk zAAbBa&@}KsVE6r}_f78~*xvn37KrX+3I(4toUB!kZgJk-`IuY5ryknozb4tFkT}rz z1MN6-43d}8zuv`Pd*NTJt;}A-rp#8us?1umS9x!B+ab{0M*xU8nDd>TkM}9~WPqEW zCenB+Mo38FjzMT4X#$_Mb2d`NhJ! z_y|@Kby#7_VdZ(R@%#GqbF_rsY?s+iGfFdZGcq%h3yWVa17!|5zU4Ie{u3f0+xG(% zKe^>JJ%nbkQvaNn{%gSavG1*vkcb4y00hbJy$_b3S8N4deysi1259y~=gFxjB2NxJ zp?k9B){N_aX3y8)<)4@kFC(-OigM7Zd_4`VirZfo%HP5p^{>_QU&;a2?*%AqxgLUz zsC5vK2wngEBlou_8oxWgE59?pBfmYrE&nxc>*lS4@we}H0hZ^=x^D)syz`q+QOd|? z>;Vfsz47*a+zttER%7Q|suwrwFn3^}$Dif4fdN3xa62YX%T$bQo_hvWasLQt;cJO2 zpyCCVqhLrcko5nt>f2sIgV}Ws8VofrG?;C>!C)T9J~;W{!~>ZJ(hrV5kcueTs{HVG zh|RC1Zus4u(lG4f<(4y!okF6Q#o?WlyX1cd#@*hRqhK2K9*i++v|#j2$at9~LOVN^ z23aa0umym9^kT~hwGdyR{Q;f0E1nhHuF=CuT({ zL?vd0E9fO=1uHNlW+4@tpJn+fL_N#8qoDUJ%S(abS(c|lGb+nfAqth{sGx_+vQ=O} zWmzjUKg}{%h@<|B4^M zr-a;!!Mw)5;71%%LXu;GU*jM0BRG_hTQKUc@%Q-=>`KVZ80y#f>--27CFCXyrWAjb zAHkr6B*O%k;?MIVb}J!CG3upwHGTxO5^^Jkx)d+PkDyXQZopt(;raOyTa}O`nBZ6V zz5IwxO33vX^;h`q{D=)o$aNU%SNL`Oh$ThjQaz>wKf;HYQ$#M-2bbX6`4Cfz;Z)zB zV)&PQh#^I)x%$jv{4+j8pCZ+4y=^f*f)9aHq?)NeQjGWELv$!oP1lbX;hp#pt%_7r z^_fNZ%X|o|BGqKQZ4q9Z57D4VHBo=02rtivs8giE*N+$CkMSXD6sgARGYj#Ye27X# zs+#5+Z*(fT8W_|1HXQbnqf`tbt%G%un^k?LE0W&ysJ7m=q(HC%67fd9;k z$X28psy|YIui!`8B2{nwcpm<}Y6K!eJVGo&G(sfeSeUR2cX(`7!X2Bw$a60H!ec8EHrez!pL1ah zk1b2MbFEM3oC{5O?AwG**ZM@xxln}1zD~GvwU6$c%f|57R|%W0_RZ+I%!kDmCxHK7 zI9AUE9~N7fu*teFPtRpAEH*#kj#Xczo=aC)?8}5rR(;NTE-hiPISF?x`*ieNK8D3+ zC2X?n6KU4^EF4UUqnfOFA~WOVexy%r@qC+-Xo%!bc^o0}-Gp<+2BS{N32-o-im}`0 zSRdGRN-hK3#MyCrzOX*PRK)^{?~HAlGp&C#Us@dbvmTF7se);>$HhY3N=hVviF$e{ z{DK#)oy2dHc#;gvDzN&L+!+q0N-%rp`oO_ca`ha)Y|?AT^236jJ0=gNA5IA^$U85v z&R1vl{;ZKGu~aiFF;_DwF;z1vF`}FQGkgAr)Bi!tu695hac&lpzTKYCs^I>*Q2v&X z$3cn|B+@6}|Aaj+IAEWuXb_@Le)d=B*-uf{wViBrgfymJ9{|Bir*MD|yls%${0=_! zd11XOz4E*gy&}Etjyuowjb-<%dQLY=&dG^ zE#Wg4(P=b>^K-tQi_-&qOABw}mfpW#8uwi4KrRh2qt{;0-$^wc9gxgZ{kE zX1mdz%^yg~ZtyZ2Jv0({?q%X|<6r-gVTwxyntOXdaz4`XMYWK&DFb)rwlFLi+QQ3( z4CCl+;sE{ixrI^zblh1|=$1N2Y7?5Bg4^>T zMeq4Y93T67ChpAn{d*pInS_ z$>Qp_^Ioo-7+SL8>)1*qhAPJFne8f zf=ga!vrdJ!kG8z_X6*_sA1!&U%~}pith%f^tlF$vteUJEtY=x(S~NedH#A(F)N!Sm6TZVH!+2q7 z^1R#b8JUUBHqV*4r3D-dT@S;xsc{_p>#hDHX97k7*jgmmS}xzx6M3yg(=oyR`jG#K zZotTGwnFz4PVqawRP8W0eJhP+M{)gTL-Ypgyzi=Lz2^h+n_^z4@|DrJtweQHZ5}H-RvQ~F8G+0@Z zCEm05xi|IXu_?DEJ-TR&E^qGJrRajvv%-qCmJbc~M_A-F4%#J4Hv0{yn}2FJj5T6U zNc1|TEmZGEnfM9C7;SaZ6Q$>Vm#%dz+X`irft$-wDG!>Oe%al6uGp!|jwLr?&Uqw< z+mOvhRGSCM_v&d0PLV-2*DdgMU;Dzj;+A$hrLqyyKGKeN5)_ZkXNrRZ)903+1sNR8 zq|z&Xa^Cp4Y#2kO?CrD2q4!_h&xsT;CrP{)wTm;gLnol>cnqzNktMbX0xtY zPhIjGgmLNpbw_*MKL>3n7jQZho~A&DB_}D*z$xwlNffzkS&~g&lqa{|t{k=)GP}hH95&- zkKVr0xvim$Q1A^DoVF~CZ*r2$9u2tC3EGJz`8%FvAQZSsXOC`aDC0QG5T|DO!%dulnCZ!9{{KX(b2OPH@qKiw$e?TmcM5zbxrV5vcqxZf-**inKPL41yELXRg3QCd_3X z9`bNAJjq`<7s7hko6Ed8_6n@NeX>!wJsMmC;M!^&Zhr_|ir_K_m#^_3><)3?lE5Z% zYgFjCDu;1(CBw_V0MBI%o=ZNwVZUf!*6w{n$~J$NjbQaZr3C1I;z5F6aPiy+zu@8t z1i#?o3HpQGA@18VXcNz}UK%>8lXO1~p35XWmnwKJ{l&jT#@5b-AjcZHLV{PB{;w&L zs?v?4Sl$86a^8V3aD4*Tt_pAut}t+Y0@toeUL%cqgWw?n&HY25^1r${3rfKDWWvIy z1qt8TIp~QRE*C-z`!-0!8f4)D2Drd73o6L2`?&>4dJG~`K!yM3*ie>aECXg8l0YtE z&Q~Sf0Oe>sT~C9V%?_OeGwaCRZ30gK6o8pQ1q^V3W!BFv;QCZnZau`~+1l7=rRI1k zAHDVCanIeo-=r5(JZfuug`5n-Bn>U>9Fx4JaNA~Gy=GkFuf3ixlJP{d=-G*a(vo_o z=U%umvunajGv*B}i+Gge(maM`X-sw0Yj4Q{m0@D>^wjR9`O!wh#ko5xl&kpVD;ywP zQ`4%RMe{?BB}*OUOY><<(-YyIlJ`1M;crIxn)~HW#te6c8%O1rrv(bxqYW<~%~xeH z8|s%xN=uf>cwdq|y!hhSCxpk7?5S&M@6A6w8y*Z3FSd5cDEGn{hs$7RQTP0}C0}xRL+|-d;Uzkr+y$xfPTYEn z%=77k_V3;sVLNj_x#rr;h;^4|Xhgb=5A+~I<>o2E+dk`5w4+4Kw?@j8N_X#y+#0E8 z!fR|5nRh?Wz8gGv03Mi0gk$|x%04z(4cF`*)5CRk%E7QFkG$bFq38l!Wce8`V)!LRK*~ptoLl%mrnvu|(*Fi{ z{qHXQcbEQmpX+ZID@N6IpaZ+>c@gJU4fyDuhmY<)_)Pb1gAV&&dZt6fCnOy6!l(`K$0(zd`qjYb#9+_v=ov9Z(P!>;do zncM31Tl+OdnF)55!F;)}y=61pn#kfWwn zmgLJpJ0%BCqkP=^FAS|?Jp(b6UsRqn8Aud2xjLE{CFJQ#zP9ja>D+OpA8-&$JMJEI z$vuBR+&Yhx=h`06Z?>Hjo;Dj?e8a~-`3j+s9G;D9J0m-J+t~U!Tia)z3x{9xRXI-j zPt~@+oR4v0mq}6`yr9R+?r$;iqLtDl9CzhIpC;Yad<}9xtGs)H`C|B~=?k-|lz`(b ze)$q%HPbG_RKVAqF33Rbu5i z*ZR$KdQ@_0K+xYI*u{Hh)zSS9y$P90(~$Gj}>oRk<-Fi%NGv{iQDHBl$M z;gOueQoQ>uEO0#LSmf+!wdokL<923noBXuZJzmd>TZC!ON+*Ze-_N}>YIj`r5bI($q4t8Q%HeBVNYY8514Yiz^O2Du>@^3r_Z!d*dUw7VOz>ggX> zysz9EZ`t}0p{b(ZnxqzB-#j)XjndoS+8b6ttCH)YjkHIfoJ_Zv;c?~G^Qfe!OHS9? z)rIn|wklf;Tfab6p5!^BJ8`Ug6lu8VITW`z8EQpY{M@^H5UqPTpaf(#R_k7-ECm_H z>N8G9%b$Fb4qnLq@WPo#&^uj{?EO$vee;#0(2x9~JK#RcW-|*Rd+QeR;FD09=?H0E zk$;m_6VY{IlX$w_lkHgp%}rfpR`_QdYlqS5+n(Onqy+b7xa|^z?B*@R7p-~c?_?j^ zr0(4LOZ&I_#r+%X-{+>px_Ck@`QXOv2h#8lz4Yty)zwmFO<@H0^;N8(B9z?@X8hYV zTeEy?v&V5QzqtRmW;<`@(cBDDWaV7`*}k(nVSC6q^5d1uKVY<_=b&*_C;YW+?LcGb z_`8ylX%wBT>%x^iL!sN^iwavN$D_Kf#9DDP>8c!F@p~kx7WzGygWX#~o?3{sed%lO zX|1c77axpIbd@;*F7Yn^hdd zC5B8c3~6UGcXinvvQ^3DGL6@xOcKg3e_IHg$FQb4*KEV8%=(O`lhfLDMHwA#Y$1YomP@^k8 zPgV7>w&=IYl|>2LiYPVrs)dXw+KOzdulOwUf|13{SpHq{?J$`vKfi{GaxL3$Z^Diw zfwRv=TAH-Eg|f@x%3iYMup-iH=5&1|xpOb+=l zqR-bp%EfI~W;fbm*c#Z$>KEt9n}pJHXh52vg>nOVcAl3z+5hZQn$y`X(!p~HAKvEe zdQhU&DE-1VboJ_qlzy>t(Y*#JCVS{od}wA})gxir7EHuvnb|5D zbN=2}?_#eK@e4S+TyI2tLE4#NyAN$#J+e7&9dG*Dht3tB?6ymm>(I{S@$~xC8zv_a z6EHJ3-`w2o+twFm%}CEw$dk<1Y=j+aRc6=Q(V;zg>`+56!sB|*!8xZ9mkcrVl-O1q z=li|W`>Px7FeOW{+#BrI4vC{W3H(O65`cfUp0`7yP|k+TNL8(=Ym!mtlxFpBIQm+`+lVm*J{x#6IF{i;5p5Mp0r-7HL-v__gB!f;Yj`-y_RtmVN7woUrWD`xvzWlSanEHnr3qv-Onnh%P(@0H#->)q-D`>S zR6RNTz68ZK)e}dJ&<~ZQwMYEapEc`frLpMW+nL65tkw<@Qr8P>{-0;O$ha31w5eWbgiTiekZaQ;Xrj5B>dG z6<)>{Tijwqx1)_m^fPeHabWqCS}7s zuWP(>u`1-=@xl$;Pfhf=E?1P>3DJ4!%N21&0>nL#O544FB!_B!y}O<$&Qgj zLPZZwl*D46mUw0>d!m~0zSA*Qd3>FA$w%Ys+&@ZNCtsT(Sp;-_u_(}8+z(-m?rfJW z6L>Mt69fS0LrUOb>#G)89_D6d?TnVyH3N6jSPy2thnq+2)#O=wR# znLp!j#m`3xOwMzAa`XUbHSc}7p=9_Jpc*`bc84O4wf3jm0&EEQd^jKXoDt<^roDKr z%WkBYJN%f+CQPobq&8Fa8&pHpH|<=56D$K^zP(1+jQxP>q!uBYpA0-Yq>`(UU&q5B z1AcD;YdqMGa(KIb``sFJhOVF0j8!UF;P9jQCcXfTt)#$Ml`o-;X1vO~Iu6d@qBa2X z;JZOK$Hf@gEIxo312Tmmjn|VPSIMo4!PFho!h+d;Qp>S?I(6UUT?xhIMTc|!N{xMy z!z7Y?cJ1R|z2!!nA8m+p^p4vtI^sO~c<*%Kha2zJJ;U4#dSCUt+v?ChF1<;DFIFOH zZWi&5Z*k$Bq;m7@P;$-$gSlQB{mJsA2{j2IuTQ{73MGob#b5$H8sIYmPj-E^OD?+s zjbWQwyca6*6Q7JI6MpJ*>gCxv&hV#$m?RX=ZmSbRYmcO-Yg}%u%mC4fbkQ2JIZa1J!*~o+3CXZgYScEB+$5k&LJVL8 zAL&|+M%xPckhH2=xwAqxKzze}uc7pgSM;_oR*()Oxm}fHa|Vkz%vjqY zKPhKcg)L|T0rt4r#*2&@AMQz6G#2?}iJp>+4$$avx&H!?t}S9r1#tt%U#palE#?`1 z{KzvmTb^&?+OM56IKYB#cSIY#Pjplb<9Tg_HR^c=zQB-tip&-L6mJcV7u~mgnpDp* z$34*pfveo@L4jTSTim^)9$6=UYXaxAMtZEpDQ$K=_jCq2S2t#D zt18WRZioerH$w@&5sl;w0XMgSe7wOPY>-p?UTfz=Oeke5MHv>X1F-I zd2Zl1lYL2vMqAHiK90v4wBhj!F1t>#Hx2n-&ZVyF#~zfMn|K*2o7KqoxG2^~Q`JJG zX;OBqT&{0QQ}VI?VpD(c;fXM-76LwwyvkN{BB5D(-vSuB)?g`?fu*WO*$LjP;QmZnY=yREqPi-m@lj#F zz>_meq0j?!s3HCgJ5=RTBzR&*?E}*ExwP=3cl{c|zUZNDb1W zaRp=fgyR~}o2@tPgq~2H1l`zW`9U4T-Gw?3dyL>=18-0FfUB+FPFENVhA1Kry^mKc zkTsNSay!)26?&4Tr2tYvX6W60+o(aqcNaena6?VSYN7Po3+T;5R@8LW3}74!JL%4t zl|(?bH4Z|r(Ms@vM*D(IKvT~}?*S+{OuOUVK+6d-$!)a8(d_I3XYY?58aZ*BT;NL= zE4Vp~4Xe0+@920Zo&{Pi($DhRY*590^00jif|`2IBU$96LI1nV zyRDx`mZY05mOYr^w*-$lYHH2qJ!-BY!_YID`Ps_Dv+ z%HGQM%FmS_?pld&;OIQ?=0N-buLDL0WDc+&*l?h8f4;Y07)6+0C`G7W2t|kmCThqz zlgB!z)8C4$`I~8Tt3_^r^C#1SCJXf_@V{Q-vCN6D5z4BQOCPexiK-FG#2N@S zSDA`oE!d-mj4~}Pa(ov~;A{FOtpjr1J-NR5dU}qkdY%~eRe$4r!ph$6bHOxEwC}Z! zlh;lJRPZY09L9!LS^+hA@EoeFjr-$H_2Tu8*bTHsD8h#Z9PMfb+w8t1{C&X-kWUpugn+(?wGt~VM3!LtS zQ&u=-gVJ5`LOUS=&4E)PYB*2rL(vUX%Ynv+A~6dk1?IcD!td2pGVpY9zZ2G}WUfP+drl_m_hbpi0F#+OCLziXC+G zL}BhC2s5#mD9cdC1X=DRvqOP5T9bq=n^p|Gyz{9LO=LDY~#W{p)&V@*yzRzlW1*e8^dI?GaAlIyigl%X&o7Wd0`FG$lB%Fpr@;?Vlv=}L@ z1#nsbr*ly1+q%P&4tkX(J)ANS;n)_IF-IwY=dG|TFTg3&M(9-tIMs(zfX1>UK&I+7 z;nWmP9Y_L83&FDh5`gBDG>}X?&_d}XoI31+^H91=eaC4?VyDfX?S+Kv(M>-fs{o53 zVdAQQWJ~_tgBeJi!Bn3rL3M%BrF9VnuyL1p8YHZc?Fz8=H}a`{gyks&uaJi9)q(Jo z&>n(qSkeoYRZD?(3vo2kUcbw4~kOnXRP6ZxD`=jhHIMw~L7?3^1oJ2~EP#B+FY z7;(sOCbtiTxowG3tJoSvGTLTrF= zKAlBLl%->4t5puRW-=eEDcsy=%Ft>N7iDS7u4fS(HFPbL$13MdjnGT%qEPb}(}HFT z+o&PS_;uJ?q2|w~b4?a{QA1ZUl`V5nH91+>0HNj&vMld%GO<=d&DEx^Sc^kZL&ll@ z7CFe8oOCSjBz0XTyG`?}9eD$}a$TvH0xIi}@kFa#GJ z!Ezjs;o<`Ot#1$Q`VZ;5j1=$;T6#q>YgoG0Lumn=&aH>Aa|eu+)No1*r@P^l4oU|X z&23>Zb-iBkP#9n=D_q77r~CH`JgJvh4~dovoEpHX6`XoN=|#sAdSuWGqu{ie>;&1j zeL#O0Va;KJG-nF#ehE%D-GI&oDmdK@rRjUJ17Rr-h0{nljgd0uw+81-JS6!DQh45Q z>JFzda9RYV@6Mi}fsoOIo*<|HLV(Y#O58zeg2c@o5+UH^WcItJ0Z7u?Q3Q4XBkCH! zykiE)0VL-9@&uysJvI+Z{veyHZ;OOk#IhU{rT%|Eix~82v2XWj8ER&?$vq<1WmV?A z`yEjJ0)A|nF_7BB*h9gsk%Rt~Um^#ogIQ@qf$XG;LK5^t3Rp0B2D9K8VQ7|F zcPs_yWd*B^gH9(TWytrd32tZe62*8LrVY`ESveWFiEu1P6^(ir@Hv;-nhS@e>>(-T z*1NXxrcTbqEgRXnVx{=eno2j$ho!uP3erhI!Pl)DGcB;xcLlarXV9AMjW9XXB*pI* z6qXXP0cyMH<_=m{=;SBzz{DjU&PY%{!jHZnYOeC4njuGv};hy7Z(O zl-cCjWZ5Lyi&?W-lUZX~!&&`LHd((jD>BPAOEF6@i!lo|^EdN0b3cXr&{SVlpI@I; z|DgU}{gYe~YxOUtg?$$yz6rHj<}@4U=2@w?n&vCpMfC{PD3=RVS_U-J%P}=IUM|MKsoJ60#gszHozdnZ*lo^#f$U{)Nf+xC#(4%(|{dG z+6s0=V>j55WL~f%n)+Zzikj2=Z+0XDG5G>*8Y#4C+n`Nj*}LcTVG>A8HbMpDP{D4f z;0V}FbgXCBbs!ME>q|#0{wr+%e+Zj95VlbuY)(MfM*k~p|3$W6iEN%g*eZdr83SRf z{I9V6SJ-}`utg2NU~`=8wol8Q>g`mh@DPhiGmYy_M3oOvvwRa69o3{pI)7c9eY>E4VA%TTs1FD8b5-%r zCSOZ6si~a5cFewgqkn+e>geco4(1e9@g|e6b(+*u&R^#w(*>v<7tBIy?w0E*yH!)S z@om5KH5J#<{nl{yxrftN_Sj>5IWwgOhEG(dR9UK%@2DB}%|}a`A?|kf%$G3l1^;AL zoK{zkDlU_15hs!_u@KdB*<&`h_F7@5$ftqx&Hm1?v?zs3`F~u!bwE?! zA3uyBA}Ap0R3ybfMhQrF2?7#hBSb5?2Fpn#-EHz>8y-HkK@>CxRCquK9#KhN{W z^LzfdaPIE8=bU%FUavE@OJ9*5Pq)Jt$9D&`z4>NIh6{MNq9ehPZc{M&4RbKMM4p4? zh53GfgZtUAi5hK6{O8l}eQtkhzHc`u^7da=tXigo%#?@;cfawUj5>3SsP@_S*v-U!F&0JU&Q?E{y&bvEESDGXUDe|XCZG~h7M6Fx|u3LxtTlWKSvHH zE@r|sdaMVtgh7|1Hx_4B9GMzDpU$>t?olS^UXlduh%}rEB+OinoX;IDfd{ih#BgSM z2@86Jk5(nqMUET-om$;ir0!jy79#ZFYt?FF(cqm9HD+uN!UXahvJQ z&v!H3L3%aRo)|kJM}?Cvg=)rg9!}$3osh13X~!2A+wP~?>ohwJI*g9L&kK%m1spS& zzLkchZhTD~{&?_q&W{Fh(S)m&zd2qhiFJwf?gy6@oO(w%o1Ntt1`apm zow%AK#;acFy#4UB^YQK!zrT&O>A^(HY41cgrGKAk1HB&S%-C^^W=TuRLx*=U`dWDK zx2W^5m4ljL=SzG|=c&P$$;*Y6V$5ZokaHkZ^?Yv=u= z4$eZsK?x3-k6%8%Gq$p^cjYj%HnhLh+0)yj80P$z?QO7gH)r5+k0OUc@4H^sw>@^< zot&JC?A${<{M@jY%IsZAJ)OAlU>YaX* zg1bMEf;Y3^%U72-L?d>p9`nYkOgu}yi}xGpAuQiGZ_`=k5#!Y>A>ET|fohF}8oPWo zS9+6dBR}_;%JiC@>}T397VKHhlVaxdLV54&Ca~UuCpQa~J|J(8RC&Y`3SDEo#UOSk zdwQ*+kFrL&M7>1apq)UY^+?VqY1BqCivG5v%kq$L-r#%wEyS(Vu9H=7)sTfZ?M3Hh zCUsa;O8V^M&%MxD{T=^5KBsfLhOO~d$7dv?@+Xk~@xLU;3q4uG$Wdh^v3zvZZ8Q2m z&&sXmuZ?=E6F1Z{tWhv)C1nylF=i)6{rw_F=9rgv^4SWdH9C%_938Pq&MM{gRwm`& zCW(_X)oWX2o7Rc`d(h7qIgn{clLxtVvf@vavGkX?3(Co=dZ4;(VjGNj9rH0`GMeqD zUus~$6GVKpwugmA*&0{Dl(E)<%M?4wqd%6yWY&TE?@{+jEbYu1IabC8jV2_@ee|a& z`NB3Ye0=qP2mi~J*ck#JZt z=;5Hxv*e*Cz9XAY)RcA1`df*5#~sa2a@ls;LuK(jwDFez&CJ)*H$vfsHO0O6lb{bU zkBfV@i=_`SRi2kWp;1NRe<9~YM4Qr3gl1*jr)vu>+SByEm5jC9-P+T1MB9Q7rq>0T zS&aWELGb&w7}NvdA0Zj!BXp4yR(l=Y##94ekby6$XU-@*xNj1x4Gnr+pQNrat()YD zq-%9<^tE;C;cTAN-*}nOp%kj{S)4CUWH~?e+EU<&fO@0$VLFZ79hC(y{nX7Un>!^}|HBal=WTi7aMV$;T1DG5v`bd+CZeY<;dX}w?cWqc_2>8S zYAe$EH!~66w;!ZX)z9h~YC4t*b>CdLZ_NDLPFgRzr_f#~RPiHO>ZgDNflC+u|A%qf zRSnXWHUtEk-q#2K%>92c*5UIVyTiNV6!g&Ffg!qXS zP1z}-W3lOlgOnd`eFbRcB(zS&cHPuv{mRUEg_*+_hxLSGk&_4#ud|KAB+3i9>f^8- z{3+4e_A!3{%BvRtkkVarYRgm{-z!adwb~9oRtZOpX4G6QGMn*vg?p}g>x=C67to)~ z@z?*d9L((9A;FiP4tD!`A$B-5LMKYs_9f)PD62gy7$~fd@^@9-Yu8#4`nbLX`~htA z%g%U~#msh{^|^AG@cH!6LNv}ZVJNDudiviniFiG}DFr&CsO!O>aEzZPImYjL<2;!h zjgP0D(@q|X$5pSFC-WcG<(VGSS2)*I_&dv; zJyPaekw1m}GCJs(wpy(kPMU-t?A)Qf?xs1K`uCml%w*j$yN7k%iaGu)vTvN-gU}b| zxjR!YN+fy8pPoPRyy9wW3NojN$~od1U0t1dr1U*hY}ZAn4|f%#uBo9+twVQNaD7SW zx<({^xH0SLg~s~2Z3u;@(C~Kiu1$3teyi(o|DRP?brQU@&-~BR8n?f;L6LZ5)S#pK z%+A#K0dD(4(8Y|3ZqUGlb-f_GzY|YX1M|t>Ssl)6=e=V1^w&&y$!n`Quph>Z4?Cot z9#}z&n!7n|3?AW>uNboOi(d4-L*iASJZdNx&7C%j%Yv-PkP_s(zJzUnw0ITuq{OJ} zbtU)^co)k3px4{o7jKH%FmmLmnl4aqEPlFSakz1@4wut3J?8aBwoA?!n8Q4+UX2L* ze%xPQ9myKqO1i9uqmio1U85&QB8tw3vRGbEqKUe)yomr_+`gsXAegMVUh|FHNVIGNkdM2%;bf3)zK7j^E-I+q;it&%@@ zO%0UaelPrdw!wq7w@ObAU!a>&9f9YM;&HN=v955cJHXIa9Ft?znHzHI#2iyu@R_$B zE_`qLve0x`w^lAzXVZ|UL%HOvqH7i0^}BXtCmiORuJrP7{RBJf`9(BfYYF z4tnR}8fFkaad^4o?a>9yw&t0*ysqc@l!tZwsRG{L{mTknmlvZWFT>H+RMx1~l#k@4 z`a0TL7kM;)@5@9I=&qpasnVx6wOu!Ww_D#A-mYI+&SbqLu^V#9!1oEP4~*br;Kj}b z69xNTzsx7La5b!&LHqcqBu(og{;BB_i98^|(zNhCw|F!?e3xPBaeO8hkT z(u?QKJJtQ4T9kN>UHVW7oJ4U!DXv-`K}Z_--l*?5UD?1i3+>v6 zue{7fcRkAR`j)SUiWczo2G>LJ?T>gR5AaLNLqeFwZ>ZRD`}n(t8V`&_yGwnBQnV$09Dc%rus{m|(AWov}B zvWWZ$x^aJ`?5c3~ABp0%ZXto%E{5%l{KmhL`*JQ$@21{kqw5#L4t*|l^pSNw>}hf{ zVih;=bxRuia;{ArpSNWzjyG{zUAlEE?~*S6>7k!ilXmJKwY#8beAX+7MdfsK*G%h% zjOz%~{)qFr5Y(_dve&OO7(ZR89a`ciRv~eA+GoJQ=&Et`#~AB6w@Dx7V%Ez&$-$cH zsgXav;@2V|i6NQOGj&ZVs~P!Qu9_+J6;srQW6Y~8T28|rHuc)45k9D9?E>r7>6|qo zJQZg~_4LS}9o>rKx<59fNb3!{o?XW)qZ}L`dY^&(F-h{-I&<{K1&iL2@|tr0@D-eM z7`H9l;9=UgGabjsxh8&3BqTJcY_AnTir;@PUg0u)m^K6B&-PA!zlgZPN z$XZ6Ovzq_Osz;6I_=}c}t9!k*%HQ=5o%&#ww0p^iCNFzi(c~Rt?Vw#FlQ8D>{DQB{ z(`>3*LBAnSjDi+*EOJCt)~`M_tPejsOLJ>h7rdI$D;QW)*UK(QU+d-}k=!9Y^mMJ6 zeLK0}r5P$p+5Vo?Eq_1AbX3EwUXvG1oLsF@w}_%&=WhaF2q!6PQlHUuT#wAs!woIk zR#^SLGj(N`Dy1vJeEjgn-PUDE zv%N_Y#Va!Wl5A7Artwn6QBc;gH9anm^`euNa7OdA>eSGL@?2xKyQYg%q^+Ia^+$oL zWKH>&Eses<9ii_V&sK}KMr)x3YsC$3C&x4M^o15&SjDaDmi)@vCXPPZEOFA>e6Pq1 zt+_K{E{21)W4YWDXBQ~5;Gq#w3q6uz^}$D%?(VKj0(dG0{ib%BlS-?X(0Yp-Y94Pt zW>Af1;$P9eUrrRZ8k8F3tLG)MV!%aB)PE2xT4}kIR;}-v+B~#UQ`qn6JjlE$+D72 zVOMMX(C>{9AL#=UOnkzD&cbdZivv%{Cjdrf8 z*;put_vxkXN@D1jTF8OZv_fplgW6M!Al*`ag{jm*c?G!otK@sIlV-=$w6W#V7ko>( zKXZ}BQBtF8`6I139Sj-uM(7un&*=rFZ92lH--8_tZ&@w=mCmbrVEqO6(|>9#^ILwd zM&3`F#3R0G-MXN>ip}5=m-E09sTb8n?}n!DdRI{0tDwkpQPiWFq`LQoI#F+iYThJBt{v*8d_7q_yEO>mvFL-KHf??O)YIJo!l zA2h!fTTXB_6JpS(3T&Nj+>2GrPPw{gO4V!2?3qEHRoUSe5ogiT`NW7Ks}k#{E<;C= zrTSTrc1Xnwe23M3J6`X>cvf`za*ys-VwK?btZho$hlTK5VjE3C%^i_ZozIA+haia@ zF+#B*;*rv<_4*dI8A*ecjkg+{ zVS{x)Wpt)J=j-Gs+FTLg(fY)E8XKc!XT%!)XjBCsUhQW{(T zh~;nn%Zqi%Pq~UOK}XkY7^Eki^rVj{T`&mbm`5Rbn~9VSLFRN+m5){=J5P zHInJPKF;&+apkADx(ENz?`;>^TZ#j3Bc@<`K(h+r?*8wG+~pS=Q-tW8p18lIK%BFs z0QS?%L{re5O0(4~oQtfeV4?-)g_f{Y)RVCl$KhE)Z!eRCFNX_RrPbqd`6Ius;FgGZR^={f6{2lbV< z#R}4=u`Mk1UT4jnU3rU!BAR)#h9b+N?d@a8id`-*lZc775~qKCmi>HF_bJ$qOOfHV zW~OM{MhqNhEUbR|D+$%C=#)u@+*E=bmx-unr{t6QN6f4xS4#3F4p2Mv-k6hU|3PEZ z(n(o97fCW^OGfnH638(R_Jf6m?`{6*rns3@+3DUhcfb9BE1EadE-FdTu%Os8SN}E0 zRD4JY$(Fro7DG+zW^K2{HDtfA6P|67M)qO2uH4^W+H$@AzGrJXNusOT{D~`4 zCBB9fS%niAWv7Ouq~`km&CPkk9?Y~x55=g8S2xzzsb)^8Lg&+7IytkiA=}bDzC`yC z>mOBWPKrIDX0D1dmxo&z`qK8g)tSP%Mg1b-FRocrX^NcwPOBb#iOq`O@Q!Ygy!^so zN(mL3i=@OxM2Q=iG9L#fCBasWAT#C6tmnT)wV%`eXTZ$Hrf{F|oKa>{%^hVY4u%Dz zyk$cQW}cw1J^I3cFe;3KSR1(^C}9y08JkQ zq=n^4Pmmulv>sD`@cW+f#{zQZ2C>(|1rxRk=avFh72qCSzQj#o_Wya~Jjr9_x$~A~ z>D*#qnr$-pm2&I5?;U|eruA8;>Z~PORq;zA(-~K+t}qWq|7MnecUaMm(vsmfo@B5l zEwQ$7*TgWv#0y)o`v0=(N6Aj@e16g|@~px^KlS9#Ei4|u<{(2$Uj{6eC8~GxdRnjf z>2_%OnwK3eb#$^HGa>pC1cKcQvn?6toz{QmuROWdPyf0S!W_Dh#qb4mnwnU1t>0lx zbiiFjT{cfQC~ zQjV|a9dcs*LpIgK+BV%A+i8{eZZbFsEQOss>V7N~eFUC7@Snwsrj%}jx?PuBoA<-8 z%q`s0^a6>^W@2p=9zJ13&qK+2Da1biS8;$XQY$9u&J2Zxzxz5l6+dFfr9Rx;G}13B zQExMDoV$NqUK^<snIkwK-Pbs%x_Ugz_x;Sv!y1)0!H{wXIy99(z z+yR&5oM^k>H*RZxZY5w$3l^6I0!zb}*dq+Gujao1+X$RvJe{31#!OS@?aw#WC(Tnl ziZ`}OR6Zto;8?_rQ#`sH-h1Leo>H352+m?K+BM|SVG~w`0qj(B1{*D#w1pD}9~*Rq z(vSQdt|k_a!+LnD_~j501F+7v*?j1w+N+FHP+ zqItXtc@LK~i0kT7Cj0I%t*5PB`@wKa$x>F}gZR`i)|sM)dE@KleU=J~_`2e95%YrF zG9FI0rAaEWws%bYEqdBxe>ophtY=w(Obw`sEYh=0TZjs6>70$CVBRRy75*#jw6#b% zUZ&1bQR}2CKJ^#tJnh)rxn0VfQ#zKM3my~eq>!`O*)?lEZ>vx_FOc|wHJ@!r#n~B` zbUdZA`nA7Ps3{_>jHhW^IDI%GMTxrJqxW42I?4a@%I2mpLv=;}51cEr@TAyIWFOX+EXztja8*m#ck4hI zckn+bIHUt58IDW~E1Ltkf@Mjpm^0$R?d6CJiFq26U~L0;9sYrWn;OJAi#fHBdNk_9 zTm7$ia+8+$5+~hgl+z4aI@v7#$ILTJo5FHQwp}NQkLEz}-hcSL*|o@mOW=r8`LXu+7n3!cL@SRzQ>VHT#3MR9Q*}p@hoY_Fm zEZ*!F(G=gjc~~-ML^00FdSKVBNPRST46=yJ*=aYqcW!Y7`*3mK&biNrabvK!xaM)! zQH=63DUYyZ5`w*f@VC_&5@c{3&G=(sbwPJ#?|b|M82Glfu=*10Gv)Y$abJprIP|7A zyT4!g+C<{zY9;b-l-1|IADu?Jd^dBA#mh^gCFwThofoE$w!sq{1wv~Dp zsXk@a7ls`!H93hxO#qW%{OH$AznPd@c3Y4g4e|?~|088iY$mJ8?23>s;hDxL?|nSq zPG)!*pY+!~cC5pSVo)Rl{de^rzMsDbKoR(OQ=Ued!jt2F>4tB@ zhACIkH1hBzvWbzlSYLi4XRa9bQy&8WYen(>0Ny>9>UqYHbh%o3PubJ-vy;=eW~@~U zi+?lA+*1`x0tZV0S$8(K|HboC+Eo0knUvXi#4n<6EG%82MJnYdUC~^m%D8Qy?-sKW z_7=liUIs8JgDoz#WZR)%;+#|)SD2JEU?(j6*V#(oyEI=Sx1SI8^!I;pRl(FdU_`YG zKnm5Fw{*@wQl#FvsW}pqhIQ@sQ+G@IuahAt=N)U`lT>o%jmW7qof|Kme1P^mo$n0lVqUy3oHm>V9FkuX!09Hb>B_4t9}__x0a43)#w5B8B} ziL@o>GQGjfElTnKm?v>^vQGh}U@SE^Yl!&|Hyc2)Tqz4=#B82xnuU4bp*!U}`c+w5 z=b98k#@ zD2z*34k)Tl@s{?={+Y#vN?YsKAfw!J56=G#++%KhyG4;JT+xOjB zSwF|OGXd@Xh2|<-voue)#F_&o+pHCJ8kObPkSv#xwumOKk^OvCk(~(_1%`4a`ur4( z(4NtYs+<*>&oQ21VO-*-mLh*6_>w^~s?3#!WCav`cuhZ~qGDf>EH`c>bAcMdjd990K~a#Up{(PclZnv!l%4m-nHuxR)}Z}22`f#uztVe5ea!-BbfPy5)d z(cL}S1wb!M%*oC7kKLoBkq7NKdrq(W)suEL&2CrydfOS&IQu?YGn{?JO3+3YNp*u; z^7=F@JwC4jSWo%ib_Ecb5>(OA)RNc#!?cvMK3y@A0_<%ZGi49g*o64Cj_xY-T^NLP=M4IQ> zLyX4jK~Y^{={XUwG0iK_$|-D1&Yy=Os}*i-t#wI+-D>Bs%1@zRvz9HHTyy<5EmSEEX|iczty z)D??cn0&C8IJXeg2I~I%w#MsrQGJWk(uIBKE*A$Ut%JyO5r>b0;$|@_cK)C@gq@QC zY&UwaKbEUCN1q_8$!fNe#Et{&N*?IloLbW_cOK!M?!7~CSZTYtHh;F}KQ(2Vj9eMB z%3?0*R93km-M%J8*LqN!l>7mstm5R^JqV^v5l-lHcXrb&&0+TKr8eCVtWk?8-{taG zAM0(`616ya#9v(IPWv!aSM-CpX#14=1EIb98e_e$QYvx;Yq0st`)_LEc}h0TIXH?E zK6)a$6P~PR#Z*#C1x@ByxP9hTDapza`J0@7@d#O6{6{+HR1H~eAM{>K7iI6~oVxAM_l-|_a;7l8qT&HTBux%-;2 zSle)!f0$tMKG12y-!t0x?o*W3o`T$tt~nK1ZjBJNSYUCda@%%kxek&w7@B)kL4Y^Ld>44A1_8^bWQ9Ci2Y-ixeG)ds_|`Yeb$lEoW<^ z`^X!Bf*mVTAlq(jC4wV;Z;^E?%3~X%$RWL*@4jgpbw&lp1E}Z~fa<=J{wYz`A}*=T z7mJo6rz`-RC1_M|YBVZNej&^}1z49&IoJpBOK}XYq-%^T_jS22l*jchYBx~`K9;V$1O#0MDM`X zUflFpdtn>1BAo(FEK7k#Dj#rVlC;Mrhn+s+FW>~>XIKC{ve_HCk(CAf_WszMb1bK> zc1Ujv%Z@YW%%jxZ3fdaha}g>%-?Vf+28i+6`B-~}BZYahSJdAyF+Xdox2_gDJ*k)j z8imu-lLsng?hbO7hbl?EroJ_&?(}3NDcDbLVr78l;$HEs99s#*0d3M#^`E>gNUAga zQ{zToK6ig*%r;P)M)~81mx&o*gU?2z{NVr9Roz%OzA7C`Ytq;xQm4I0JDOSBz)IP< z5zlEo9ob1KfyBw#=!YQk{?%b(2~&SddfOuOtrj}-%JvouJBoMKc6i6Nq5g8$tm&*yYx=0qHtY(_4L*Idu6vd$~1NCmOv_S zCWWlNJ?{Bf8jJeplo{v}(5UeElPNlY6Ae7`N?1LkiG2nEc8RQ}Pu;r6nGdx+uB4G^ z#eeIjKZ|ig?ZCfK#RE2DZOVtC&V@&#;EdIMC@Sl>WAr zOl6C`$ahVhA}YJfL%8!(xAD4=kdlX<)P3zP>#+~2cLB3Byc*Oy!TxjdR2aL%@fbvf z!H)lT()PveqP_c+-}u`4q-hokRYg`dPoWDF4n2MUqWgfjW3Ht6$D=G#MTc`YK1e$# zYfLVzm@RPPw{ zRrN`p4C?n#UhzHTKQngX@0tBW-$R)k_DWoF(^|_deQD|r&_ZjL;>gLBRD{!Mq~_R? zI+>`<3LkbU;%~`~?Hrn|{mxu7*Z)CTY0c&Rscw&NqPp5j;_d2fE1d$m(pzg#irXHG zK7&DPP3@cyLjV{YOm3~XI(tTs*T|ar*Xi7ko~V(X<3d)v6t&rTfGxvWhwG1SPBpgy z$#^-nwL0p3U!Xdo;&e{AJF~PSFG4SWp>rZceVK zaJ~I0d(fV_;}5pBBZ?p{d^PgHi!nX2ODoP8U31RvBt0^l%DUXLy8EW%dP2l?AEpEK z8t+iLVb?v_&5$hHj{ILCX+Nlm4t0` zTDtfmuJV}jnDK7t@#c;*l5|h3`w2R`dPtA2#uWb*sV8OHP}_qWIED3fI~{K_d_i6# zr5f#iHPIavBNWYx(NgfA%;El`N48+ad6j`H9v40LpW@|%mbOXNO}e1!Hov%a)~_UA z_ESXASs|;|VMy(j!(Ygmx*aykBko?DRE!%g#UA5%nk{U&Va0i>T^_T+Sr)^bq-Wng zSrlAUXY^u1FTZk#T4zl!Dy6C}m-L9cA2$H3(%#UEYM&~x`Me#qVO+SiqzG&n+&;2z zUVC2hyfkddij)7tx+``r`7&Z#>-JtXx8Wa4PC5=pHkzpe`w>yj2X8jvac1OhErE@O z@%KSRyWBxVr+km%UYjCLri^~)f3g>aw^V+eJb9G-Y!u5pp_B$uDF>twdp<9Kp*w2p z&z452t;0s$o3szGKa@F_$kRL=)3%nz!Eid+F{z7WUL&}Ws8(v5b1Pm7;W?xg?@QtA zehuHE3^ID=97e}tGVUz6B%v`RST(!ndK45UTo{;6nakAa3lHZe2)Eppu2<9=X!(ae9RlGum%Z=# z%R}TXG_KW{Kx{2gK5uVPD&@%P(n!n8LcteK-`>5$X*mBB%KWTp(-wVKrVaHrJ@6TA zK=7c0fu|syKir$f1j6`f$auF99v({cXI6$Tz*}E1olHhh#|DDe%!fx#$P8zD*0vn(eG-5R;?aEVkZ>V-5aqJ>o8sK>cX z!#CU(h91L+1(FerOWMRzV!!S8A;CU_#i{%?-Jtu7HZcXg;})6%C7{4=&X)AT;AsXz>AmbCxNi8oSQ$WfXCkikb_6xlEsHrb6d*gX_q7Er^1@;SC43&k~ z-1`PMdjAW$|KcqK8^Z)$`S=Ukv6bty7CIeU#DVCadM${=g5fj3#lK(lvX#62kp zgDb92ZD)#*uU;s^BTj?_K7%aLOJ+%i07m?Rj;E8rv9-JL3yLs_10gX4E>**?Da1hU zY`|o@C^f=wU-uL|^@oSwkU`7eWJ09h2#5ail!vTIr3Y>@6-NAA6+KJ*2L6IX0iq;<@_F$R!Pu@% z98CMi;G`1n{UiqZbrD7!FY=rF%LjC0lp^H1vPRSLHxd&&1qfUj<)MLzLnD3%Nzu-;@YNh?f=z;^K#^40&%TV$XDiD(ciF*C)}xh>Jx9ZLs_n zawvlG`2$w8pH5b;Cu97GHm9+*iPLZ{olGJT?j0BbO|_$nva^K|%X9p;U%!@}Sosz4 z3>uJ~SS*2-*JDJabNz(gy)O?zk*AYgiRCaJY`9jw0^cxHx(ev};)(JZBh=38B!_Z&l6(A>XQH1$~QAMn()Fn&^ z0?l-?Lj{?Tyj!&jGRCih;7!^eA=e+YHnu`gK6Ok8RjqU~`XIRE`*7$Zb{KJH9D*S6 zU&7HHn74H_lo10X#%QAncoiW5rYOSj;5Z`}Zn)obMaY;DiZGQ8kqVweEME-w){KS< zEav*Ch8A&oYN8E*t0VUVy887{J~AALS3OUmJla~sdBl6%NwVnKQh7-01C-Acm|Q!Z zEI9}sPWBz~>}}JS081b|oc{T5d;9w+CSwJeC8L)x*~btR;iKD8YRv)s@KN;Fn~D&D zk0?SmHUy(@2H994T=IS^_-x#ra4sEHbn0ydNUbEwN8}~q6>$dH<23lS3CY^vIZ2}; zD1iu7l$r>PI8qMXxFrusrAPU^?15^v>~>pzMEP*DA$I*T$o322P4}arpIfP-Smtwm zI5AL{d8#PV1{ks72XtzPB7_zsw*&{m)-R3Q`;ljy02`vvKZA^{2;THG8Y zmPjfgyD20x#{Baq*+munnp9YJuuKfpUpl9n9AZDprvS8`Txq(Bf zFxg)(QB1m#@bH@oX!$445kI%Ii4W*K$YJ~qK zJLrx>rw3jjV6rcA0=fkA(gQ6)JDC+lcozfRoy+c)5JM5tav&I6Gst2=*^$OT2^L_) zAATYXNakX=d||}4s%QyH1;`yK6d~s$wJnivqOZIY5w9>@5j`o<(A8xa@yZ7@W3nQI z%>m`(1CXXyn|K=(aXRpZPz>}Z@Wcfu;CMxd#21v04F=k=vKk^u3nM-NUQPjC2Cv$3 zAPWCwkeNTrnSINow=AasdG;3N!$PeV$H9WIefku7k2xcdHa#GwB%+zq^l21y|Ca)U zS|KCwLk3Lt0Sv|Dg@T7~h9c6Nq>U1B%4qqo%!u^n2JZsxbQ06Ys7AXomR0;4N z6nYE!k&+&GUzI8!dEIB>!x*ANDOj9F#60&E{t%)+BZs0^ba{+8x_Xe&!4@IPV zML`Yls)FPMZibr;@NfbE0mO`mXT(3Dws@HAZvvFhc^{OU(7-bBngqH7TrvWe_KD;n zi+JF5A`pSVT&4r23;Rr26w}IYc({iex})VK!bmF;YR>~EQEr3|JOJFJ4n}1IAX5!y z_yj=a82}j?c?b(ddSEF*F4ISXW$!sKBborkWcC#vE(W^Y2HgN51iAd;xHJCS>WtcW=9-KTX47^Sf z`&Rk$9W}u+Z+Q437}*)L!$F%+65Vmy2`%j!+%N z#0w%f1P2V%1ck|_=5Mj*a!=|5MFBWE^r!g`bt!pB=QF@asMxodoWSZtIRv^R8;V%e zh=La9DnL%vGXi^ZV6r1*8sU9}PnRzsAk%N5n6kdZ!>6Rs9Xd}CMzz3)T7`i_4+3J; zwdEmi+0z3LAAqQVZr?$-3#Q-POOXhps;^z7R3K8|QYN^x0xtD}5q!Z)tPLP6;NbyT zwm+f21c6eb11UoZlU)Zkt^pfueG%#N5;lp}(rEeSCy4X~FrkBdSqBS$dY};H}UH3rwWN;!>j{sNK!zD2>(AaYru^K6~B)VTGm#hmW zV?6x}E~)nm8mj;!4ta}i{8db5z;eMI2}fhKApHXYT_-RUp%N6q_%4Ht>1B?=_um{P zl26e`G_a;y0J_p(#P{In)E^3vT}2J=zpVk(zAOj=t_-r*nec0!kFaAmQA8LSbScd1%uE zU*CZdy9FR5e$0A5k%1A1%A*PHy@doYp$NsEAq;{5Cer3IsgNuOAK1&lG5u6(f|@`V zl}V#J+Clp4|AfAB$|bY~(xG1&Eiv&H@)L*|=Z9+4M&R&fMm&QzDcPeT!6E87AiFfu z1HZ7sWal9$rVK!F!Ln$B9tq>K+si#-#NQFi7KUJ+)Hy-$TVU5kX?^nnpegdkFfQ@Iu1p}(TvLR@%JgrzSK27~EjC-HFa zj}g!@RIU#?up{>OW3{*}DB>p~gRIS5#@G!FZ_O_pOg^lpa?T>1G^ z#IvYy=)hlj$PbfrvQ%h}L>?3Oo18arzgz|0_DTebuoeUujPl4r#`rG3$uH`uM_fEr z8Dv5g@TRqBXuuSVI7kPb>Y@laW!I8jzU49-3@Qc_6!2K*q3bIqsA(k-F^2Mx&o6qO zNhjQ)mX1#+`=BmkY#Rahw*3X2Re}+ZyhYD`DIxRWcQgD1M%|8vzMQ6ta-D_|qjb;) z?uw8&-8-Bs?vlEgJx~NlPz3ez5Nd<;z)236ti~gh&%0h|_HaNj%P@?%;60jAQxS3- zY&VqY)Z#4I5zp)mAuQ9Xqk0KXAe~bn`Zk~x_)$zz3Gndi%4h?85gC-x&5#WEm?#q3 z0hH)dpeFEdA(Ij)!h&vSV@*JeWDShiUK9OwM*;Fk4@FqWib!?MAbTT{liDtA{Js|6 z6cPiyxep^&)I+DXDni^{Q9hYraYh(1Cc}JCTVpX$t#hj3?N=WGILZ_M&_@&eDIqfw zTIXgG{msp&i*C$Rgb+BQ2txp04rc^@5zA%zN9k4){vDov0%SPIZZog+z;9wOStuil zN&SV%FNe=kONntXS)3AzDJ&BnuBMLe2m_K48wuUFQh+eZYj_73LFDC8gcK|Y1Evfz z10WWCg0pXjM?(9@atY%@inyNXpc{?l;5SSaAvdj1grV#RgR-a4l}kei^))UsOguAU zkuVZkObB508h}+V5Z1dDh8cm0B{13THyYu? z;Rqu;un@sFLt2y_dw|z$z?m4Jh@?9^AB2Symw@Oweugme`~|g_02`d7^uStG7;$hC z!eAV1VT!>P#tB6@47@RsK}K5xzh)l;{oD}{BiOmy_`wL}^Al*VUqB!f!<(dm_UeKW zYbGG>R8SG!E6*SsDThl=MMF;}V8q%Wf9w?@SV3*sX=RP@Ea0RuKv>(rDi>gtAh0SK zMr@IQP_3McL4g~*bkK};iV!7hlutQ3!nQht>_R+8{x;Y=QUQ9s3rLUx&?_kbbOIpt z0g=;+10uTeofen~gNGl>p*skGmLmjvxC?p6sdsvyJ9|J3PgNtQsWsR)RmwxKAAqSK zZQCB8m_`+4jN3pC^|f#gE(5_<3ztjDvTkLK(=_`PWu`pQ}$YW8E$0uNkaUhSy z4Ir*m{WQKi8G*q!VY1fOQA~pIvkF=)$*$+vc&GGqiQ{iKR=kWzqPpJW8qh5^6JqnIf9ayovJQzlaBpgTg?5k{TS z(0yZ&B?W*|$El)9%m8P8<7!AWdE_XVXDhRGy@e`Dom>vCEX0OLzZVU?OQi^*9!<07 zaO$CW>YNeCwh7*Ra6&Qh)BrgTRQ?DDVsXa=5?7}!`@#ljj|!kYz*Glds@-U)y%!k% zi?(dMuFGs`HBbY(fR^*&;a`9j$O2kmJ__33l}lJ1Tzy+R8fx1OBX-e7OT1Eq*czh< zyTJ-JzIOc(nT@&U40NCfP!#kq+4qzvrjLN5)}_&>KOSaBX8gc8`zGo&A&3+;y6xWF z(CCiX?0e#`|Jhyg-3h5SIf`ZWSA<5Y>6@q;^JbMdXfCQm#Ny455JAZgymR^wP;IF; z8r}qQW5E|r!{5BBa&Mr;a%Q(mfKbSJalvZD`>d-`$(D?~=XzF;?eMo54Gn2NlAhKl z!jCYOvuM(pnBkwcK8W<+Ulre!g9#d78bk{WgB^!n!Et8z{P^98PILLmMkq|EFz`MF zI4}4${8Q5pVU+0IMQ!rG6|m=A^A2;tjJs@-)ug_-z=fMXdBk&Y&SkQE1jgH8%(GPxst9!1{ZfLUpe)O-xcmMH)sNHxQN_n zfxBqFJ7Li#H*=W%b#Fb@R5^74P1+EVdqYelhq1lodBnUfn4LA}Nfbqne6eqnEsPG_ zFxqL}aqQzFaPRi~Tn{H$uW+}y{2~yj?uCJo^#5BwFxcW7!stm;tl&mK&I@;7584OR znS@AUX*1&96?yUFVl#tRiKf1wP=Uwr&$Y?d^j^ib@LAT7>#E+n3X#hGY_;3KdePEn zEkyNUm;c4(ufF(p=O52@#$pb(vC+=Otgf0>fd#ub_cGlU)`q7A&C0b*`3ofuqJ2Z; zv_~N<9^&$4TjO=ef}PRn7+n6~r~z8{INzfhSGR@P87&FS>p>&D$0b$dq1YT}2EJ1! zh37R>S5~;|2T}=bsqYkKF22MJv(1^HGXhsO4*yzC@D&DH^`dzLLMmu;Mv4M22hpo! z&UdE}f6WwTMoQt}Q8vd~>}mHb14nd?i}Qz>c^!=lc5qvErlSegJ8EVjG@;fZk_+2e z8C}6nBbxf_P^YnjZL!Uw61}M>RdlD8&cG(JT++umw!q1of^F!Pa#;xLJXH=Tw zNQ}LFzLJ!w2MTmi9k+nK)Qz?Ve>Na2i{SA8-msd=O33<8?_Lke%Ha8g#bff*mn}1Q z2cyR4^|#f8hgx?|R-uvJ#qTs*2;-8*|GePVR3*_eI3MIKlu&>6-HCBoU2ZD$?P=ry z?`cx7&|3DXj()YqF=o-NTKTvU?!2BmVdr>+y?z2 zg%VL&oEzMjH3|KHVuGUt9*E=iTK6+{-Fq63AMBg<+gPL|X83x_jT%XbUgqOEzd4-M zHCE@=EjJD9WSw4k|4{b2qZLyzN)275{6`VTvT9LHV$wLsum<*ODqgwgzDLZ};+X}5 zqyLDCx%*Ro-~QlucH@l5D)~w&EI|7do^fty{}R!1D_{Zc>tx^q%L|RKT7diSc^4`l zeJ06#$-Al*8=(+V*chJqVv0iJ;S>YUz*^r&??1|3*KVb&pDAh?qz~vf-5Se2d?#~* zkoB@`n{H(0bBfZ3jC{A-N^i3g47{xsrb<;zYSf*#B2c?S(&yhCdAb@KGj&QIYE`UL zaUDll57zOh#MBet%5^&{r)S8W_$PdG_X|l^V|A8rnaXk=Oy!WoM`S9)gL@_6>cIo8 z*&#*b$92J@HI^Q|qLQ}#h|PsOW`)(QUWVwXf}R>LT7T%NMW(W6+BclfVPvl4@s0mLH6GdWSQ<>o<31Mg5} z@gcFed1cDg_{8C_S8qp+D0nY#ib;xRF|-g?#na2Yd$qilQugqL!C*rp;c2|*Z;$3P zO_ysMNuFpAZpviOOmJR>>r8h(;~%=7l0-jXXh1J`DOF)8C3Fb``C)kGJSCi~-$WRa zbVc;QrR&f_YnErwWGx+M>4W0$FO5E+&q9Oem1_Oig3vo|2Uw2*aT>ShvZ-Vfqiea$n3wd>o<6GB7 zLWZLxGqUWe@4s|$8w(CNoqerWLkLTF5&PuTQo@V)SZeIMh#r@?u6JRd@15AjTYli; zPo(F~Karz;EgLg`O!aygzMR6_|JrI^lj61RaZrkN|84a+FJ=irb?Rj$9*HZ@Sd+E# zlPaR#k*GZ+W&9u3g`f}dIqxn)eU_;;FAna;+uZ1Az^4*d-`C$9kODVYk+5^q?Wxdy zF5=q@B6;D(j3oW#^;<%?hu~C4&27ALK82wl6Q~`>8rv5OyLv*j#m#kK!?>z#HJ|mQbmB{E zLv8AcQqM&h;nqL3Ih`9&^LzT7{Rh?h7xv%u`d@hFOLCguYk;K1l>R8-S~b4MgV*!b z27{aa5sB3q#h{mt8CQ@khbba7b{Xb-VcQ~8>_dZHmvuabT zD79DYT{9&1-dkeT9(Wj=YG zbD#U%=Nade(j4?Szr?y=@A2XeUbIrhDhxIm5G&MOqEmdS@k6lL6wduye(_EauEWfy zZgp8;!MQ95OS)h!a*`2i+|WEuB$cm<$shHoGYS>lIu4n8I*^W&0%EzB4yGXl57PFC7I5i zbWqA~!WUTnSIsLteWEKDva`1rMhmqNe*8LVV!ng(Mabv$+>V@kVA4 z^YnETtd9ZhQ`~Cs>=eby?^&dE6gv~68*&bVhav%z$vYX7qP`Yle6F_ z#dXO~SEzwbh4KAHlxQ#RKd>s<(RV0ql+z>v>nhDtWH9%FrP?qHQSU4!VDgS7KMrXydR13Vo-_IZKfua8$DHmp3B;x;Qnmj(c<4Z3EH~J!L~>N> zh~YQh#y9#?vMCLsAVlvDLg@kL`3KYv6nVg78D7^|>0{|@sV|7l6@I-Xe1YsUb5t+H z14VBNiUKreZ(=m!Q}q6XdTu^i*;eOr$=0H5 zuoIM?#Y53Y=Z(9UqL+~LGGd|R!N?q6s0V<nUzATQHJS@JG_5R$ zWy5CQxUtzw3d?aP>0oL7;>qt3prQ)h(l3=eGFf`ioJ!T`HE05`W2UAf56*E9>p&4?iA9yAs)^- zFw_}UFv0lhs0~l8xu+-v9Ao7&@SVA*l+--7_NgT{+JWLwQdDBcgGf?zC4clKO55`e zl{{*KUvEzuOGnQ)Hc~JdJxb#K%OHxi+{y>5Bm}pInnIPke-ZUb^efr0}CTIv{6%Pnt42%a+x zejson#15`eLd1qm;}`Oj!y2G?lfc+nf?-B#t?)01Vrs2I1^3bT;=lc7I7n74FtN$8HCHE{17w-bs1iO&&#az(pZTDN&<&;^-1074>2qm!7WGn#hVzg z-tcrM0Ip04a2^6(3wwI(N>t|p_DyM(V9pa%_QW)hgb+c!*FftM_3>p|2UfH#Tcg1< zDwInfL!uqqf7>k7*_RkW*S_SZO8T<=$brI9G-J*0865%6yeL{TORLEWvn6W>);u>h zFIP`aV%`$pzuK-P@`mPV_D0OBovejHlGWw)dkz>j4r!7P^5~ecq_&$XqQw@ zFPn4lsiT4Oui_Ox;%6UO6<0yB9R$4;UDrkCS6bEmYHd94q=(gRphU5OuuR!!nYFL` zMCJQ|Muao<^7rmrfh%gs_=R+)mmhy*Vz;w(PZ}wL*ftwz?AJW>9H6?anF4zh89;Q? z$q8`g-Mek(SfYLxyMrU$C@i>dC1FK?;;lT(!+X0d;@~DQ3z!zQiX-JNTifq(7FxE& ziYM3*`h(7RCe<8k0C$Z-uLY7+b-*SV?Y)!_vrad5*qv{U+qMTD#@E zEUUsZ0y`ilh?Ouigh>{@rj=@vSH(j}x}YG8;Jyugqa#!9AXuI3Xikk6nj9~3ObDVu zd^3T3Kl1B&E-nXqYNemls^Ca5IjK0(pE>34_~rZY@v>)LCiv=~RIcKK1mfJcgKwC7 z@<1{Jfhg5$2)j7>vzK8G ztnwy`gp|ZkBR&_w3fA|6WY7Jm2}Vir8Xge12!_o2Tp<>^q-T0q2WdBJ7?vfrt|dlE zVRZ>`Zf*wYR4jA*#WU$AgnFohV0shHrT%+u%f=2AwBpo+{A8AwR1)iwxx^1m0h)%B z0);Y`Yq!Lfp@i23Gcf$t8F@10E}1ee5*IHg2?>@ObhqSejJ++HsFV+~RIX87lY%4d z$>l$o*$Q3eH73M?qLO=lsg|3;)Uc4` z3M37&a}g5LegE?Oo$l? z=A%q?pPGk#3`>vU;KKM*Jp+i{Wb3k&8KNOOPcHwrk=;Qcf&8ptuVsk~Y8VM>DzoUl zo-je^iPT!)NJsN5?^{t>)XLyUBlFjaiKXf@*I?39-;{0JAS2?i1Ol!hxOycLbgnEx zlhEhNmejLgL3ZFd@ODjn166QS3c{ueJ&&I)Qi4A&jL+76-%Xy*sR9#{?#(Ti(vWJ+ z4ujHvP=n*%%)M2|H5h1YAiYAepZ+E^aU-O8e6tu?Vs_Y(sj<<40zoK6g?OCaYk*#P zL~yG-!AZGfJ1*5etzJ&+V;Inag9=Hk^u_3 zJ}GdbUIEtxF+PM=u#$}L?nBHFk>U7)1LnQ=DAC}P`Wht?n3Li11>T599XFY;P22L-1LK9@rl2OWQji@@1lhRuJ<(w#g1;D zQ^2hQHwRWCRYihVt5Rlgq}kc3lI4gMtqQh92|^813b~qlwXMckx8tb=POM&p45uF> z>2aiWnTEwZ5`>(U&3%AAC?w7#2<2_@#REz|5?YW~s7C^ei3NP5OO@b1(Y z<04ftGM2GR%4#kLdDF*`4PvW=v>!qVNCwmJo#Q`x9Nea`X)9&iW zZi@|8d~jzkWC!sQ3LHm!T;1Od5nKueV&BZoDHPWV-x|~Fg0O%QNQFMpC4Zn*$<{DN zd53i`nw~HB3-sCdJ4?#8^#-GRNbNob2}RrHKV*=cf}%_o7El(V2r;8;FdxAx1)2rV z&0Qh~WQlW;Q{Ck7hoW*W65BbJMZ{7*S(e4ItO~kKA;a8%G2RXo?%XYsE+h6$5TXTW z87sHh5_FKjn$&$#*2!p0gy%1SpH#k!|5_^%2r1gf&@3Ue-=iM4WVw^Nk&2$rlj6)M zU*dn^igqBB#~I}-wcG_5GaTu+^su5>guRXtAz0#&WjIocM1nkI(bee>v0GZ%w^8AF zx(@A4K`1=Lml8aZ6*%#RUa0t_|aWI&+qjGDsv7e$7k zwxWBI-x3{H{WKJ+-i3H@zu6CUpdeuztTG@@uNs_WlzvTs^1YpN0yP7=T=qrH5LNd0 zo`yLzoe*M%>O*ySh^})eCRUnsG8#j?lZucGF)k$G)k#K^ldy8g`mw6$=;B|f%Ok_P zg-0;^DumoE19vJUd9KM-Gy_&f-uycd&>r!uF$4h%B;c8G-7mz{3Luna2%}F|R`g3EI!`}%@!Jyr zT#Rfzb>YyIwq?Kc;KeO!;1f1_TK1f!3>%hsy0V>Ad$lpXzD`4T0{`xr_n%cs?V zIIRii+iY%tJJLSN=Uk)#3^&jy^<0_NMCbH=GcNN86c!Eom?J-~4(ggC!C|2|-7|vxaIF0ncyg zZJUuK^9cn|dOZsG9HFQph~~VOb7%&uIDIO#MfTZ;%FsTkeNnVyA&I@#eKh$ExgU_%wVldO>RKx8 zxh$b9`A}`3(OeZzp72rty_z_hM~frm)Y7DKiEF8h=RJgKlC4Srk`4Y=S(omm1-cfev`R`Oh?ahjBlpXz5`3_CaLO$3Ekr3Ob zre{phfwujm%Dnww4QQIM3A`SQHYgbhSb9$o+tZaf-|xJlhfhT~%J#W_Z!5AQ-BEe7 zN9@Ox*&b3~J^^ST4BG!dd z?mE-!JXl&?=k5|YR(vkk^J)5@N;BLX*!3Ju$R4bIdo0h?36-E0siM!7M;}Rxm2$`!u6Sco?h(=dRAhi?9KDhnez{D zb93&&^#@RuM#F_ z&BIVgAEA$%)vvCeHs#HmHk`$$ z^DQIJzXxsDkKg}|W^w(3_q|_?y(vlN{ENwoL$o$DHt2_6Pa7@!-tb&6-5QSAs&Y~} z9e-k92qiR)vx<+y*?(43ikv_DNhP};;CgZP6BJivbgYX(vu-4v$#)}_k~5h&F$de=_{E_ z!HPYdFStJ|`9NKVPlhl#3+4ed^7+fdi_3;4sHIkGpOFLPe0+4pJ^)+JluY4WBE}F> zSz8tFeg8%V{b*V9B1RuG5O6(7d7-qN6L7binZgt;vR!|sx|$Mn@AYTZP|r^W+_VA+TOE1(T!r$AE2nLt>fLiGJ?T2HdXsP=A zc=TjRXv*f|dCsTW_$$+XLsvgC?bX9esP06`fPCZX3HC6v!_Rw_u#+;tS0?Q7zie40 z0Yc+nAM`_pP^8McI4z$sNaJzhjieuyOhecBZ~B>JX11|LGp9PQQdm#fKf#t4TyYs! z02PjMNL2S<)|N0&Z240mcw>-D|3|r%dT+SF$Mx?xsCf5kV^Y{u;VQ7v7I)u?sr0!b zjuC4$*jQ)fiWgc{|5FW*$@Q+$oX4BA74Lt9mLz$vKHp3~%&<6IY+ylJH4RsOMZz^( zt_zoJ<5&n~N1MAaH@h)*+K?8IE*JfBkUP`24R%D+gFyw2fbWUrKq#-L|wt zowg~tCYb5RgNc2fW-FC_J!wc^eaS)XuTC6)DCHnChlOTYU%q4J;RW@ftRijr$F;_- z>mIin4b6lr*+I5Xk)ip0WsWbxmX~V2SAKQH>x2pnGMzM`G%jl7TyY^L;h6IJP4l*! z0@ZZPeRJ`vM?+c8>4OL7gzr~eO*RCYSt7rqg6H#An@*OdJ!iaE!w;gPZ9+5pk{5J# zo-~_Wn)1Ur*?d+n>z&M>G+KVDb0b)x?j|#H5|63*j+B;!mt932a$$8d4hZkuhTKCbGsWLBod>Y)HoWa!V8&_x?Qf?z`A zm0%2=^eu}+FFefr$+zlQL#H``Fw^VHDovf|u0Fgi;)UcR$yY5arIfIoYl5HC^7xCmm#=BlO z&2>Pg-i0$GUD=Svc7VY{H46DFO#bkL#p4@61QyO$Uaz;!6)OK4;HI z!=z@BZkUC53z_%G!LyclpC_5R$vcz@^DeErTvN;d1LtzxClh$9+Px^eqjXE-3P~2t z1T!Elv`Uw2;#IRnnlfAfRhee{4KwsArP;zj8Loihd*#e^z7MqrA#D#$6jWb1x7qAr zng|=4KwR#R%U3`S1G7|9o-lozn^l1;dD>HZKi6%W`h8Sw3CF_*hMjNQ?P9znZyHy< zvo^DyFebj5L|VDp$~1O!4r0JffbIokf@I6#Dm;tk4Z})3HD~@dz@kOHP#W$F zMq!F|I1P}6C`sVBxq{|ytp=v)B91&(K8UPKP;h3XGi-}kHnebFq@CGV)b&IHB~W|; zzY=vTbZ=3W|LMIgm!W5L2WhLq`fY&lBiL5WIH^+v$-_oDILp$1G7QA2a(s~GQE>sS zG?sC4WR(yAovxd(18=nGS5~}-<&W@)T|=5lKD4;-?$u3j9UxOW3+61#aMB({4NoDl zWltEMR9&4XRqJZDWr;RfEJguEV&_5~Rwy~CUx-uvykSHWQBm z4zRXa95QAtXbteJd0%*+76rxp+8ZUiIF!lK(NeB9$$)=EV&3T*h3CV0BmSnM$1+}n zjxV5ox(ZLd=QdQcC>AvD9fcl3vU(;z2_?Lao<)WRhOaAL^ynV#^f#J3%<9py=Sdg3 z$ka7@^V-+=j|y)8L*Z-C>EqZDq`=NK88cQRtB2tA;JCNQV@a|8`f*MbMy}Xy#cj+U z6zTl^(*;x)tkDf$MAq$0nzwCULNy7_zZyY8=HGm*RHfp)SFIwm(v+o)_Z6;P1tRlE{$VwI=#eX+3ZPAaoh|bvyaJcG-{mb?_485UsoTh8fWR39%K%;bi^3 zX6Vj$f5{GDV;ARf3e$>QL-6Rh0aznJk?TWv$w6J(4Bvj)ud!mqRdJ0r8MF2JD}nvz z&^XA2w|e}4=xTUIj^h%oKdA;h+@FVPm?f~4RwiKLueRoeHKLGYkPL5VJk3Nh`-k(S zhx-9&3(Xd`@=B{}GRUZ%Rs3ce6A_?L zg>E$2AdR8@B=o936SAa>vCN?MwvV5YB+EGbOd3OX(%R#gVYGySHlemWgf+NyDA`I@ z-jK$yorp!0Bl0R2t6SoeM|J6de2kwOO(2F#r{)p4ni{8%&!O>G6LL*H=4NyuVmO=A z5IGqQfo)AH#{|?vsIk45g*QBYLHC;ML|vK=h(o$~$bhYCiyAGp7scCl^JpMOMs+!# ze?U}joXy8um3h$t)lfF4e1pOoSyTDIdM|o3Piu|IvEkCpuhzq(m0)r>5M|Tud&vjY z33=ntXd$VQyIl-y{7MfLZLP5!%&t@r{GDepUVAt}+L9W!vZ6hhS4lok>e%LJxRmEf z(X=W|Zp-3iZH9D&7`GzEgf4933nrlw)yBK@Xr&>OjH^c)O*^+4n-N*`Xt6`d2y*4(N>M_bHNuL4%511CTdpZ*v6S;C<0Mo9R~%4>AZJTU7(KO zI0q;~Fh^-gNzJ{pI-ZEK(!v_?>Re`Jx_P7J{Z|T&7L++5jfh8VD`R{yOgn9kqWa6( z@^Kd4fjO#Ku;uy!z61LZ8DQg74iJSPwrHvav3&>M`YxN{ZIiDY5Qv-(DgRYLA9j-%u*n-zqKWA ztzHHM7ulx!#1mrTlM7V6;k-W()Iy2kTc&#+>K&6aImrw-0o~8Z^Fy`U`hl161nWKs zH_Mrv$mnqNGh7}k%5m=ckW-_p^qiR_Yrv2(2i=Uw^j=-#gJcH3tLb?Ndu$JCf%AaO zw)Ok!k3q#OpDa#)UAyP-PXH+$N6Ph==ZbQ+&fvN^o^m_w`YL5LuO;W>duz);wzO8u zmF1x2YQh$5jw#mEqpe1jes_V2?_ryNYLa*K4_6I9#Y|SFEsloErN7GKnF+53%F?bS++MWG zMf0F44F?NqE?#Pk+U3JlKtBJeDY+c9AOSZzopPYrom=0ke?Ei-M~`oj?a%Z1!B7$r zpY%;1uo;}bxCzU#)TWFt%rwpE`7i&5=is%#8ZEern&Y#Vat*gYa4FoQh(yJrnlec; z$f0pgd5YkEHH`qw>aTnPHi{4Qn_$_P?;rVE2$psnEUe*>yq2lI%*BU9H*K}-B=D(l z5+Y`9V!v9H$XEmb$hs1&6C&N6kFZZCl;DTBq(DW=r*k zfUI&tz>|G3Kh4B|)iMr4PA6&o7?n3GK&FDIO2?Rc1H=>kl;zL`fYBkr+PPP+w^n!m zt%h0s#A(w=zaalnMu@qfP`< z=pLF9{x+jDBToh|H=~rCI+Dt4IK-bkzdDFI(RO!#^G8oonr!b2{rw*jT-5el3D^gf zQQv$5H1AIF)>n)=5Egs`=nY})-ysHEj!&3pKLdo1K||aUs1^<}P+q`&c5`!WR@VR# z?fm>Iz&kT%jU?96r3ZJvd=ql;w4}zRPR!5X3Ll*C)N`^%IGk`W4$-ZV~)`Ku^LP&}Bb{2-gR z#OD-bpfl@nY7DZ>woLd6SMFQ>4KQM~05P!)tXO>e{+=pnzbQ!t-aoqZ-6h4Im6AHi zr-m<$N(Wjal18nQRG4!UoucuNb}33shNb>#sfhG1+RS{;@Yfn@G4eoRVJg5;c5Gm+ z#wP}AfhMY}kh`*r)y=Xj=4Q^VKUAXL$N(tuP~X^hY~VFiz2O=wcvVkl?S8t&ow5-? z0)kC&S|>q};&WKvw6p!Clblysx0grPXlZdrSgcX5hlHGm9?%BhhJSj|IbUhR-1+WA z0=n{?b;Ey&?oN}&kw@~VGDqjV_pqhL^ilx4P(zcuDU*k{pIYZA+t4d(G@Zzjer?t< z;Wu$}QcG?OAQ$bO+WE=3M+_OG>MUXM^2}ZdD&hD57?(`tDM;BVl1z=e?9^Czb z1t^VIbtoyjwHsJ8HPLn0b2sXys1FdW8JA_ zR_LWJc>eVGJx78H#iJRAguw@u4ntn;Jhh#rALQ1V8=-ofEFvqth)!joHEZg=9~Wyg z)~v%J#3N9xB9&!w_Y$j`+Q#(=K05A}zJF?aPv*6OcXez`^48 zZove`SldeS&GzGnS!Vb8iCts$Udg%bN)l+BNap@;ShTLSJ+%e?h*#9Grao0_X1kaM zHm_^PUatUXbgPYHaSL?dy^vblPSTN*TG&SEbV_Y*7dVnCt&%hKhQ9TOS5K5N6cvJ) z!b9H<#db~@aCE*bbv+Xz^Q;}+22nH@HZZk~H>r!u&Tlugx>l%u+%|comAVW)(_ro} zDt9?EK^PfsfYWYNLTq%3MF2nV2+z%kqBC{f!ho9GL#d=v@ znnvkZT2n1Qi20?THZ}96&?A3BlkAIo_e3z+7mtjIG`8#$b~Bqb+qqU&U&b%)W!g7*V zF`1Frd>w&hD2jNZM&KL%m>RGZD9n0pIVazvZlZ{DngeB)lk32gL1+tv=@;D-jQr6# z%YDnywcj<`C7i7ybt1S>%N#7z ztXbpYENfc6i)>$87gGs?ab7T!-9^9$ibXqLGxNyT&K)+yp+OkeWD=>MY1hK?WRABU zX~&Aqe@`^ho(r}=vJz!_{u|2PW+P&vUS{8G-JHC`A3N?kNOQpJZ|i$Uv(u&DqeF@G z+pi~f%@#yu1rtJKOE8;eL%~Y!OA*D#j7n>Nn3O^p7pHDBMTO|SKU;EbqwD0(66r~N zD)zf$qBAcbGSaof$i(AI!^AS}lAv0bHT`$%$VmT=Rvlv%7Rn#-y)LI+nTGd7@djy( zf)n|>`4f}v09n7b4Z^5W-eI&tA^j?AO8_2jz& zujm@rHK;;fRW+^K(geL~(3E|cz~TS=!GTD!JiD1XC`plh1(5&Jg@)Ob4ilvCfo7+} zj`MSX6|n;A0f7z3Sa$;~h$C5#1Jp=E#@*Oy_VY2dnW6I4n#H4mG+t=T+H^#6uzqJe zIAFbP%57W~^Esqmh}k1&y!%wFb}&eu(3(bS;&WelQdsNg=T4f9TEoy^*(66E#p5Hz zYCl^o4bP=Me(p8}4FVOFDGkJDsFJ@5Mg~b?Ki5ii3{aM^s~$-8eClGqx*ZqfkYOXx z#R1sQwnT4yt}2ia5T%Lfeo*M1Ic^6rD|k(F0yNEwqlL2l2Lv7VV}d3NUlc-Vz@BuZj~lI} zY0Hy>^|F^IKJD>;J#NzfPdK%<16t@k`8Ma}Z07!#>>RSi+pw#%5;0Nu+4*xcxzEr^1%<(nj37!2`P2D^Ddfyd9Xov@oW0I*UD#wG?o0-)OZkDPX zOc-}xju+FmC&zqi*Ch6c+-^5p9H0EsFfOKTk>^G8K9uqO>{pt8O~#CU%4BW9#({y5 zb(AJ&TpN1<0sN*;O1@OYWh?h(M=0J-+sQV;Ic0}W*lB$3-c$_-$rtko&)k+>QFPzI zzf1z9fwHuxs#c0#s&Ym#X6Fxa{uxv1=e|~^S-aDiwJE3{msN0jruab_5HUyXzjb(W zLn*c7HgpqR*-7=IsFw1z#Or|kE4F$^S|W=IvL=UFmBZ&|X|j7K!Xnx_V61I$>zc06t?djB^f zYrZP=5=WX9`oOtY3FFqmnW^-iFKacm(UCt&if-*tPH`%QXt$H^o$JgxkHv}+RhC%W$7?+jhuE%p^1Dv_rto803+WlzXw}; zAHH>%-klmBm~hLjo|$IPviSU1XvRK^L&iv=UdMGudC_gSJejFs)y=D@uC(wsr&kE$ zJdPwQSh40E>|DNra1hflYT5vMwVLUv#ry6M6wXJtLR36%c*5Kc0 zEOz6Y&*krva0VZ#C_Xk8n*n5vQ`F~Xa2Dc8gcgTRduqRYtC@|Q$#xZX+-sSvP(o@fccZ7!j=pvdGTmlrwdrUU@a!T8x6?oa z`??S@fO$|hVUy*f-+&(Ys_Y2m6aK2g3FQ-DR_64s;bT_uht-G_D*GSp?w$v2?DX-^ zzGcj^V*D{HED{@;Fkz8(qvB?o{>d+J9{D8x%T8~a{pEfk_w!$&>~hLi_-}{^3!Tz^ z)KuNS7bYU~ccIy~IP~u@x#H$$TwI}&a$@d3)!6z3A9fU~aoh5jis6UtsZ@NdQbx*vhXTq>Djv5S?R0jtn1m7K z9TN=?^S{0_e;eErukp-b2R>RZ6uG)1{A=0G+izqhZl(q5*r7p55(b&_k(KK1oRQ`b z{z7dxq16~PkiH2A>l^I;%~A|z z@JZ5zf*o;1=`SRtLE8=PmRO(fI#ro$q)! zwnh|ooE6ODOX>U$B_sD(w+L;ZC_c8C9rkgq)&rZ(%j z+xz$GsI|?n*Z=$b&A-3Ae$Nkw|G8dNyS_N*io1C&t-}y1?G$r1U--i=wjTU4B6k1! zI-E6d^{&EihYL-O*zwc2o13^Hq15ZIbvZ0!`Utw~TmLi3v`N;}pD^98fpGiZDSwgv zAyCRwPtSWU&dyuT>t(wv{@lmymTYfxNnItm7YIXDDO%^S|4muNL+0nj8&?b#4KS() z_YOZdL@j)cJ|!=c$jJRVF0=5vT;cbrAid^OIbQl(xBPEuJiYg#S0>(iJ>%{v;e_0J zH}?SIiFQ!epxPQt6FUk79w>z$jGe1cgdLp|y=KLvoplsBUHBu?zI_L)z z%^$Dbe=Z$Pj+Ra@F62*-Yqm?f&G|(~+}iHQZMH;3-+jFEq31ELpSN#xnB-lO(#V?MWyru9iya#U z?r1dTckduyVgLsbi{HrtaPxC9^$+k>f%4hQ#`le3x-XU0Q_lRjMdf+$1A9H@HEGiS zxC&Imd@a;sBe^STrg>>@%U;dvT!e_E z3@?{cgFiD3(yHi)Y9i!QjbiSX?O1N`-60-_u5bMzH&-5cR{1-a_7(=X?cdMClQ%_H zSmT-Yca*v~?tp^2|J!Ew+)4T|ROve2nF&*X8@OY=G+!c<{<;eRd|J&56jcPp;7w?dwql{-v-~^jHd3(lYI{M+@y@YViYua# z81XHR*wO5JF8}<^(-&n8KK~Fp|5p3AMy4Riu}vKbh@s(Y`P|hn^t~)E<>ebT>yhV< z$%}Or{!iM*oN;lh|L%w@OZ`juXmU}k3`|YEG8hgL3MWPw7jUnG0P2iq&{S>2VgLegqY0aSKg#1ZQeH|p%#r?` z)JmbKAHjk!^sWMRu;Z2Vk-}f0HyJZae{kVS%p7G5_TCGpL_OLJSD)_j!(#j{Usc8A z%P!a{3|I5h>sow|En$#vow1eB7Z&OLgXwLz@Ga9Xs<`{6GPJjo32|XY^5|WTXTM+?>kM7)Owf)KDqA-j`BU}B z|A=;$`6Jk%>X~(3xIa-o-@jTHN$o-2?q~J`-$2G?!nqr*R%>|?M~)j!iIJ4G`DX7w zo&H;Qah!d;_=`76RW~|6|HBB%f3+#}UATRjZLj{Jv4E4$$mWvuUVVYPpnrb+HelegWiwIn<+4@k~N*mjTH4b+t zOzXSx*j_g+qt|fS^$>Y!PL9(f43=H$`sV5&rIzeeWE&xAe$wLYoFumrTBI;=t!)7)Ucm#=|-Y|M6ghIxAoV*7&C|NcErUuN3jtP*K+Q2{)BrY1)J{rD=Uf#!vJ)+2K>c`DyC zscDF;v2A~)+=RQr$w}It*KP-W>t9a7<<7(xa!WuDk@=ApjMheR{VwMv`a-k#N06vL zJgYiv+e#rcWs`~pJ6%me)h{(Q2y8m=3tLEr59?Gq(vm~{FUdPDkYnJ&7GgnoAiF(sC6|td z^>gmc0IkrNY5JU*>L7#Wy3Bobjr&|1ySp5!!r6fpX<@INFGRa1@vz}|nQ`Cu4 z?=?fM0{?OS!#|<5>{?n3staS;ra*}&mxe@NA@yvb2~&GhFsnUD z-CGh%E_+9e_@jP$+|l1C*558nIf84nX?vQ5wUgbBxsd^O`MB;S8U z0xle1xi0@$;Qn6ro&Kxw)C=cR*}l;4!80*Y-?`HpwAq$Tab z4-bE<{nAO1QWTf`n)v%^=Nn!n98Gw4+Wn{~na_%cuNw$$QboD0>pUFkP(WImsJ{0C zKi=P#(HOXgOWCdck{oH1wYT4XW`3pAK9<4}y)N{sTxDbEt&=$| znz$)l@>OV3N5g_p3k%SC2;=#_Ew2i+@~V6nc1sE^0A>GmsV^FJED8JN8OK;Q!r#)i*(vE>~O;_h`ZyFz(b)p zal0k=TFsN5{yU++A#o^ew;D zxkAn{Du0%>AGxfJvydzjYZI#jwzUX$x~@Ij88hA=5q~{>p2YSE-+R}kshh|}DgIvk zZN&5ydu4ZW(NvYT=00xXA7}Y1Y7(}(KlhUg11*MyQ03f{)K3@LE+kw6&A*!V{NH4oN0MNwsRF(S4fR}$+v9Lf0vl#z;zzJ})+Hx_*r-#I>b z7j;J3i}%@JU3lacLl7iB~QC%8h!&5M;*+BagKIQjNxkt~cqgs`~RhQQ)hyLU|` z<4ID{Up1wm!7-G#;pj5|8_z_;_nMXJ4+)Q`GP_9{>|h)N`8@Vh?hwV}c@+_GRDzUc zAD5`iZ1L7ailWEx4<#X&ufyv>kutH>)>I_2l}P84n_2YPj&x_K@W6X=+OFWHZ;cphp|c2PnA})sZ)u9XL~-FUe?n#f~5({7;cDU8L=1*HIxp zsuDD!nM6n~t`fGBy1Py+3bnpd2L55R*qb&kQZ6HGpsVF}(goOR?`r{Avg+l7&evI< zwJD2ZCv*w^r#h6G%8;n$26tOCQbk1&UQEhrs4PHu9RsW!)NjKALn`J~D`F@_mF}9x z;9(vz5a$%NZjSjA|bbyUNVwYUoX(531| z@yKyw9mNKacoQtv^0({lT%oc4?#w5{rMXJ?%Q>N7^}9&fexHbr(E# z6vJViai?pK4>OIBy00SkxRIaibz-DFZH@#`XdQdz(dmgf9biEU8%}x;EEwO(Lx!i@ z=I^Lf)F1D*i?B~bQVXPtfS~1=f83MSVyIGUEq^L>6GF^bZO+D_@Ov<0^a`<0t9;eoamML%o!2LpchPq#Gxu5Y*t3Z0d(x)$g zzrm?T8<}gB%!^c)+1PY7x6rF#uE?ggYtI;IobTM$xj}_Rw-@Rsbcxx)9$;O|-xgn= zvQndGb)Jb?H<;2C>okb5%Kg70(oHfk24Wm4oQ3?*pp0J}2mR~t4+aE_h_pG>&LZ58 z@(wjLKit4j`ZudGR6(!lzK5ipdz?zrKclJ8n|0X9r16pU47>bJg0tbMm#`OwLQ!MPK^GHWQzf0+ z)9$1r{gmExS4ds%5J}LL68X2BXa;!>+!e(&!XTaytm(cL3taF0@~brQCEx)91c&)CQSlQ6`9qFC?!06A0slIty zJSwV$=vfdA&q>#5($>=auz2QcHXFrJQd6oLeqLAe=I)s8b_6pn(b;nPNz!_@RE}W} zH}?xsBci+74hK;XmGo7t9p?O-{j%TF{di@PtYkA!S`e7uh7u$G2NtGA%)#JM5e*yV z4P}bznU9;|fhviH=fpO=OL^d((l>H}Rg6cNBi+_=H(R2#v{3&VmS|4NN6qFHoAq%d zqAm+Je4|Awjcn2ri5eglsKg<30*I)d=;G)J)eWa|0~pOEr_K14)=i1J&LPu$nUe=k zzF|`%RGSRjdtMFqC@=?mMyc>-fmiT^1Lx=jS?PEA=?dI)8LKy|JfEK+vP`?rq)!%|Ap77W2Q)H{f3u(Z1D0W`WNXvdP6`+_jk;zyUP{c*TW&PCasx zq1!c!Z@(DHQz5N^QZQu7j;%1=bujyJSnkfq+_&*Hr!eRBz0;_Vjcut6KA{<_Z&fo?Wf5jaOwAqW)qoY6`peRtF3WGZ|Su_w9gtCQ%W%J`FrAfZoqD>?JA3dM->FiFO zcLj@sZMeSRMchy-y?Dlza5#rt_jbb$00tJ1zG?lv^Gmn+c2SM7X2{O>7DFj*vo2al z6Y##Jo(v^Cdc`rXxMJ#(=@MlQHF=q{BWE?0ry-^g1_tV zK8p-6WYtJ5674Bti1C?z%#PDTbYJw%v=e8FuWcIkoz@?diL$pxYHG@drzz(7m1wqV ztNn-MD|pI?IrNIEzaTyH`roDH8%-|%LbK>Uqy0XOFl*Ud=5!ap9|(ZVwImPZ}MNudSo**%#SKP z>F9c#X3z}@#saW>sDA$vt0cy-Zge>ubCF9S0z1ZlNVs3+Nr>+`pq3*}{WvEnMUV_m zQbZy1mGCu^{tLvgwWGPo{uOmzNqk5uwFwbbA3unw!lE1fRAK-&QYl3bH;|S0OJ;$;lh%1L|Y&a$SUUGVfuIz_bzaG$aFRq5=pU$vL%VZV=o| zS{eixw9i*S&F#Z{*Q+2af5CJR?$2(HRa*!fZ91#rw`3>vOPR$%ldy_JJHX1}h= zz7L03=by-sNoqzhr}&%Y3l=@bX?e-i()&Up5=aJ~PuEkm{ zjJ}N_L;mrtD#p)5y-uJwXX`J8N0^8-C~%g-Xk7LYw-bM){ZQukIdm3n@u)Mr!Y-B8 zXN}enk?hHMm4#qo*oiFo%7#qvfsleSxvo|a@f+fIxa*e}ndDbT4uuBzrFAUo2)Or! zvaViLoPN6z-$aBw3SktaOZ}vtLUWC~I18>J4KLmqFutNeK8!qR*#*d~q&md`?p9Q*0U|pp2EIH>l}gj*W$~+tIpblRUqSWp#MyemqIhg% zYC6*0CqyG>U;6Y1kz{@KV>dW3)021F8ydy0i4Ht9?pk>Tb}_`TZrPq6$zQRHXwIB!pf80a+3b54> zH0w$j4)qae99U!CoBx_MF^*bx3$65#Y8rfwiS6z(G(3*IszQvUAAMNW-=_BT4%kEA z?(dAi$t%)+L*V}?mlfQU=EhQ?KjWlK00dpc-Nm!kOK60zMG0{2cn0iG|y$Ksq85g%vz^-SgC%8TXqeRHee+IDz2Faz%S1I0m? zOQK$Q%+aw-dCTYJ)(1=LKlyWv$UX)1#Je^QHri@_MQ!RmYBC_bycNRl*I<3yaAiK)b*O7B zMkyB+0cOu!_%yb$l6;j0(j&_4UFnY!E6~ERJWJ_-FNQBmO32(R^P~QL?|Axw-_13? z%ABTCv^r8RDwIO@yY!pZGUu6;avbN(E2qA`TCdS1Q}IC>Hs&6CBBP<^*|J5zw)ds~ zDuBtCWhID!#@Lv(9*y0zC(a{qYthet&ve+wz))V_CdQ-$Y^u+IM(HEx&EkUBMG9&x zYSd3@tPbMNr5h=Dd^4fU|KU@AgvIK+af;}4Vt|{W;_b0~G z8^TL8H|vpTr%B@pI%hY|e^S{U#p&`^d=ik_la`? z^`f&506a==7-Cn}CgIK!;PCtew?nn}BfyL@;gs7Fm0wjQ-j%s@ceRb8oHYuu>OyV2 z9rW4cWgL~Fdz)e5*m&$_Z>VNGMVjt#iwLvecrIe|LdJ<+YbUr@Lp7T);#E^^IA{98 ztkYA*5SMDW@@4+0rckU9$S!(V?E+?HZcuBhXd(D||1i^oFWYYpS!kAhP=BXHO zO4q)B>!hH_8Wk~R2{uX-Bv|MG#9BJLeeHg3@20lZyQdD15R->hD%|!12!w4N^I0VFRS1T`f}FeFI)k(<}9*6TK(f>L`&3vRuNSae>r0&xZLc*+3jJt#sJ% zy_gAlWj2t&e;EwZ^o;98Wq~-HZ^(dt+8jF_%bymENG$FupgcmFP@KB zF*Ti&?c%m0-tcY9@T(1Ot%e?wCoK4^Jn7?x8PcSWW2)?0JX~g}aeRv-`Z2*di{R9Y zNIDXQddm*|4-1)^Y@yr^ynz8jnELSa!JZU(i5p^=E#@YtED?^~5bHLeO7j+kC$$=t z9)v|s{bUrh5(yV#jz~bOM?GPQMB>P$B)BP_Lsy!7Es@~k_V|Qa5Xr;az*UrVuWwaT zF2MkjOa{@4EA7N$Q0fsPf#8AdcFFQkG(AdBfJ!CV6&Qth@8_P7RK>IJs^BWQWhl47 z+YR_tgTK%DGV7k%^B+rE0#CZ{UxXHWT6YtU1y5Q9*b%G{E5Brt9_`ZYGJ8zv+H487 z*u;%FCkR6U=wN}RxUamAo|>F{jOnOt_eZveca?*7TFeOm#Ycj!q8ola3o6fmK*CpkS_MEWJZ)^Wn}0BN{E*oi#@s zdnC*52&s6ai=`R*K;vYb7q=b9ek}Z15GyI4Xb41c1eIdKDZ7yHNY)U@7BP1=>)68T zcrD@OL`Gut7VWc!sm@XAR{{_ifAf)5tkq3?-de<4C(QjG(CEFLAwf95wJYZ4-EHWF zwl#NiRE#4)W(S%aJaI2^CnusqR>^{!#<`@qsyQ^uHoMoaLruam)7|o@%z3H)aW^Ai zp7X-LD=c5Tg?a+2kZS}w5R55dCn3Pa2^$6l1+3U>EfSb4!@Fw_{C5YvW1`l<6e#^{ z;gy$O6FX7_i0PY_%7$|FnhZQ@%s|@o)XymB&?vvKrdp?Rxaosm1Y3pRmW=5@^kD7`Ct%qBrikf2MBA ze&7F4`Oo^g7qKj>jE-&{lFj#sGW>ch+p-=cd`Th@arg$~5^pchOM21&oK}Em+5|UKUpz0hAdE+5D zvZ%_EzCnsVephC%>Fs6!xU%G!Tia`gfE?Av2j+qEP6K*Fy9`T{#MKnF$XZaZydzA7 zyR+2wnIY6FL|m8no+`@3W`UhVVVp%Wk~En4FCVetay~OAS|N6hK$S8=EDESzq>7eQ z|3Dy?>X+TsY~SP8xRtCJ+54^gd`Rw$4g-f;4sk`2$L6CqQO!>Q`uBOO+akc2MY}p2 z&u-UL;9!-Hdr~rGTk@HAZ(>zVmAuzd57y4eai^pun~EGL=HU8OjkG~qfC#SPXur{{ zi3Fc~E1I*GS5UZzop5}Igqg$w@K4Z_N7GgKOr3De)Em(A=d5I7*|Ju$<)n!c-J4rP z%Rtes`Up9&jWZF&6N|}6CgLBE;@-KxRp~MuAyngY+Y=M=Hi5xyr&bm2oy7HxZ-upQ zN+Jo{;3^wS`o=PaBlk9y{bLYXx#FNgAg= zB%Kc21U72HjXGc<{UIq9B1LGCXWYv3NVu*6Y)+m=Vv0Y8K>8toVD{nW>A5Sy^;_6m zD=?2#>!95?BEO)gaM@8y)~}aSQg33|Gr;V<4~NjL{|AqH3jhpy*8>6kQQZ$^<=7 zQ%`efd+Xz_fBUSW^3(JfLReA0KX-FrE7Zv|ORaqMqlit?r^NCb1#z!`fu1wQ3c6yg zJWmT+NzlJ@?etBcsf0|L=bqiGMbi1jgl%7Wa6@n)ktacSg6ybTNddKysL2uA5jO9M zGCQ$-fnXA0x)Fywi;7=f+j_ELopxDi ziU;*EbmM5eRsv(^}i2(JqF7RukA99EOn`zOTCi5@1dfKP`d-ryC0m}=8(hQ zq+&{z3E(p!7%kYWNW0WtYV?_2I)P~bz#|jCy%~x!!78I5@(gbc^*(> zh=HfSmN5Bb#kYe)4(7icNp++0Q?|MAu|8w8E!^RqhBqCUKGIDDqkNgDl&R(_TZ*L` zmIU($2dK?|JBr~EX6U#2ZF5j{DhtsT?qsP#gHg#B7g zn#JebM)6nQ2*j@w@TGq_2=-Ec`!VuG4(2L zSH!DW(2caM0aa-{RM6ilR+ZE1*J@dI-xiY4VEX)qw#x+j?>>BcoU;)0!~f|BH&iok z-(NChu1EcUG!|1|!W)iHQL5ZjdCf#;Dh-$EImC-TjNTceQ5e>T%Hu4E+G#GU$}se0 zzRgh(q0XA)QsbkI5q;ssTnHSVB(O@@6@3g>8#aV1Gh16{xSZH$o*EF!f0 z-G6|~;5Mn?1}A%loOrZ-4FSH`^yzQb3BM0BYD4e-ux#vCEIo;YHe|YZ+6yQV_8>>L zir-kb{$tAV_2KHndIB1*pY^2BK(LkN&W5d4%^k0AGZ%=c^jZ-MN^y`nf8yZ+AYdZO zW&H&A93@UblolIXCeD6OZ09*dK(qi8;{^cE|k7Ocyr_SIWYJVe;t#Gx_yA-bhjW0*6M81fs}g2b>SnmK&)#F6)dpyqKP-Bz+@j6uk8mLU|6Zy= zJ|`aoWW>ysVdJ!!8D+#m@IXn?ebOm=6AbPI0`CcUD~>fD~|jHXzL60- z)H&1==k#Rn-ycYbekxs3=(%>u&&O3YTaXbxDur@EqlVd(U(@9%ZYk8y&9A_*1`u(6 zEvHL1^Dg)@i!Q*}zcoAY@_H;puCq)3extcN2Jp5QV?P4iALB`2E^$`+cthd97Z1-i zaIgijlD{e8Cj5nkFfX~z8&~qb55glU z&OY;{aPESKCnx5f9}BmX>0@MwqdAw9cP@-QJI&rMw-q3&rgMX*8Bt0~PgVBE#2t1d zBeUK4=!CSfo1~`F;=KSaMh8-}y}7uyUHr;wQ1X}>`}UEM7%TeDdU0KDmV5W&UQFZm z6V0;mzX&+!8~Sqqng4ZA_X=CL0g9~n2d@?FOQ1dy{lP2<_%8)us=hGHShBw!TM`o$ zH*$k@Es~c^lh!Y&*rw#guG?k*_i&qR_rZ`UultGHm(#EQk&J8E13n1OwlP@}+K<#K zZxzs-ptdA`%IK5|*G?ZKD_<)vw!6D%!%3;2AoV7~AgeQd4_6a^Cam%Wjw0I)wVg%Q z_P%QHg($`8JtCOu&Rwhl0I3H7jo|S}9&8>X0A0YT1CwcjLJF=3dQ$L2TAKL3$kzrT zJ^38vIx-aO$V{|1TfbRfisg(DFUTcbRY~^y&%BX9>3Q3B{QIn|ru|ASy$T0~o}fB& zhp^|(f!x9oX{Pmg@TQNUi|>oIClMH8_gFVV=J398-_A=8EK?5i|NkrT`vJ0SoK9@) zj@X&J`|cHjb%a_VrgP8@!S<&@D*n-01_o8uz4#T1Ug=Q@B_JUJwpdLH@G_Ow&+kI% zUKJ=gzSTcMSI$&q zQ}{VG0YU8hi+9=R@m-dKNB#J?Ha*>dpic|VmBLOcl*?f1{TtMm4c^sud^g2lw0*D` z@a632!1ojJlh^AGDjN*JpKfz%5qtI*cWxdVv1fgmu`2PTdaK3%3ebY_fD&T&E{30Q zU3VgNnuvLF;Gq@Mm~%>m_+v`1fBQ2~kmxnSRpMVI!%M@6*B@Dhl~{xGk^$8+#_+zB zu0^?X+FHMqXNkmUWu;$O+P_sxGGgIz`t86B^rD+nFF1>!3_8Z_E?|1AvNLqvHAR!3 zQaBT(PPcD55iHd2Vj3u$1vr*Ip$`S+PJuBaDcZIJRkb-1;xR!w-2~K2?vjU_!as-b zsyGjlfK4KKa0JJ)-sI;@F_K|#Dv{h?hq1Vdb`($;a(@Y&+i~68il>;0JdZEwRjGpn zLBW?SfV*&oo+UtWM$kI+&9@ps$MEq_5;N;`$&yi?N?lJah2ouA z$k1Oalkw|pdt*;=u@CvM&ZK76R>9Z*RWO!4_ZFww<RDRSyjKyztt!1}rc|3#o))VI20D8{j1QU7Z^iC+min%BG3)WR2i z^`NA#xEk&eP@R+fKJVjksH)}7)eViVohH`3$u2N8!61{`h- zx5^GWHi|6iUF(+3(Wz_)Onfj#`u8?j7@TtkM%EAsG{eG#A{Kfu>rlQN)7s9TOmJDQf|Kp10w~GN1*0UoKR*|hof(1X zkq?P1=P?fny~%|B+6Ye8uXi0A>go`S${*R4?6T={r#ss@-QF#&LxX{F{-Z<3VXoss ze}bnb%O0IX9kmXrh9F4<@-ENr%EG67_>;)tCo#L$K5(vYpu~L0%iQ=03o4T+>n$yw z@~soSR|SGKiGGfbv2|gFeMotdp*3dmF_Kb+l@mA?R>gZEtA2%|yhZvztJsvb8J#J5 z4E9#CAdVjMM*Z|4u(UcD*+Z*Z+`_0=5-)>d?uY0xrHP)JLTSr%APXreUa6JQf*u$P zsr6b`Ztl$E8j+1Wmr#)cFu$ZndI|zCK3T5?UNm@#ni-bUgnOr!q{c#fxPXfPPTlXk zWuaI@b0^$9yuB3wP4`$)O|r-ot{&|Q`=Z9<>Ay`p*@bMGt}Sp|rI|EfA8$@yfqquW z9nhG!a5qvmXWP0M>tHkJVjX-OP4S3m4|l)5VfB%irR7d<9d~^g7mto?n944eE2pN< zn;(NL`hV@G7l;TW#i^IVAya&2lc+emmU*P9D=iE7!d0+SiSNi>Cma;UjbKB+!(577 z9OWhaZ)1~m+++LMxXo~MtqavaSr2)lX%{z5D$q{l0_T9faJT!Qmwq1%k`KCfF(+)H1<}mx`U&@_O4Aqq>^nmyJNFjXiBU!Sq-2+S+ZUD|Q6y|0HOb#&~% zTk;~sAEa{QHM7B#~UlsxLZpxvhvHi=Bm&0?7`>I<0=i$ z@*ucIBm_nQTD}<1);Eh3j4IFSr8A|p9GQ(3tC*_KL->zQyv(3XZM9J`1^rVyd5sQ# zspwMJG) zFys>{gsrBUXe%1BQ-p`1nETycCltsL#sGiK+mB}kcJbM+3`9?f$whsC%Lr-gUP7|i zZgRwYa*bx@_JZsZG|{KNk!dkOSIE9P8R_+s4+}5h6K|?ElW#`T61YPKEH#wP(eL(d z8~4YOP2y2s2dYxyE8}(pVYaJFg~F%k6rClm(X5EfcdLDVEJQOgwC~Y0>#Y!XDP4s%=)-D9eqsm=8F!2? zh76#vEL#SYdgrpC?QLyS3-QjBri<-YAlBFl#+9mVk>Y@Hfm=G1L>JMiLndb4i{CB% zI8UipI^^?Ofn}HlAmHo9{7^3@duwSLNc)}V2de!u#s^SNIvB0`2oraw`XP@wF3@wh zF;P*KTjpm_t~}|H8l_{T4zoKL&~{u3x4jJv0f*UkbDgQFQ{<3z+#qX{uK|#U6%VyfEN}=uCYIN0J+7^BN4jT+=52jxLh_~L*CP?uMf5YKS>=}*9B)WhqcZmOsK-J zvFKGY=4GeZ1Nq4xMft%66s=Lyv3QR;-|xqUUMroSN6n2nTI*I$N!$3fE9`!WSlmfV z%gr*gFAlp&6ydBOvy71g6~*^v|HsHSgXe}F(Eun?5=;s0u?M@K%WrTKlq_Ng*$VdG znB1gi+klR2cjVs782f7`6XR&MAAjb+^b8Kl{R7sjvQ6>W}zD+4v8D|@?b2sjAp3j zTIVvczawda@gWF($juEwWYR*g0CS0CTWss{|D9%XKu~n^B&RKK$~q`YQ%~2%EB}vN z756iqB(yx0@Zz57xHax)nQ-O78xB7OY99d^B>OIy&k%2(;``J~P3^)ANjh_LxFpfj zJr%>#ab42RuaTQJ|1yzdy?3H6b#nv#7^MFR<4P@uohf8VA338xnlu#ZY)tx?z*FJw zU6$qV2Ehz+iNH^ZvvxUL&v4Bbt0E*w(dpd$ohDdvEygYPlh|S_wq^M#JUM1TyutCf z|J3?RhVwNk=)02aFDRU)hg4){b-vq>LTjQhELL#qh0*UBexNcB(dmE~-H{0g;#h27 z{Gu0Tg%5_z=x8BgFJvn@JPyEl#H3$ix%6DO4EB(`FVaT)6{d^VYUKwx{3GEP38F47 zUDq4_&i2>77VK+tx4vwwCXJ=OlXvF83CiUsX&6PV15IX{6Uy{Dqnpgv8K*OL1kl2! z!-wF=^;4&Qox;Ejya9^;Q@iJHD1KY+wnvt2S=9dZ9sh4DreAzEoclEN5+WY4jw8|a z#uU?L6fBdhEYd&B#%IJ6S@L*@`Pumn4aTDV(We2|$zF0&W$2Ss`Bmhy&|;jU4b29c znf!C@BYUcivW|1UjLl(KZL{MLplFeC0=^kZtTPeLsT%@fSBP$nvIIq~Gr;%!HP(f(%}fgN zdaWA-QnDaIp}KcFP6ZjK0y4f7W&rmbp7sJ=OJv!}eZzLYEw*Vmc}z_^9|ZcyT&?X| zfnIsq-Eyh8fnm*r^Pw~n2Hrpa{HMo>GiP+-RiFawq}tiq2jd5k+huOnp|Pe18;q=H zIfys$;oG5*NJ>|5uQ~2p)q*5UcX_;=EhR!sY?RF$%%^(Giu90SH&HlQwLK&;Xz4tWUcHTh^kFjLA zAuMZ`AS2wnZP0^ic1&#p-516y)@D`pc&v1NB zn`-$oqaDs0#s~>}j#>y+EB%6e!{*URR2ybHgy%N&VaIaGRgkFTh`dhTSxK~hNyFxz zgHf-5lNetikM04TSr;=#F8@aZ6hnY!QlGYJ2ndPdgBtbxG`YwamfxIoM^Wy_^m~p< zaJ18=14wku8Hg=Mt8wdM^P0~c)R`fuy3~MwYirExNi0@fovchh=2_lDWDycQOAUWE z8{Q>Y%Ac8P>dVnzJzMGD`IIseFl~vnZxwru3c~rtKH9ds*#&?fwCX}CQEiQpId5r#?ShcAis_^{Y-#{%3ZKi?7d+d^fBEc+YY!9E;O{{6+gt)ts0JCS3*nAXj# zb=`T|%Pz(_n#m|(w=+E2FKf|RDjLT$?T%86UA5m|>qWJN!1!5!b^=Nn8BSuAzFR)F zQgB~qWBYA*#m{%dRtedyBRsh-GZpxA*7%PljT+KdN5m|g#f&z0YixKt(dHT{rCa(> z()L+OyK6vO$=A@^-&5Wac?TE{hMSXi*c=+j#kI3LKl-T|H!^^_R@BS3A(<@uD3}uF zmFJ!3#J>8vB5`s|ca@j^g&cT6DIo(GD{<50Wlwa-@CT(JB*5EMIS5B!!0UB|Ir#+d zhC>|KPaTL|pNQmBh85Gp!`FF|8(*0Jfaa!x)NT}sJ&~jK9vFXlc~A)-P-D(-CA*1v z7O36cLxlk!pz^qeIqD}JiPXhSfHi2ld$DLv31ORVgj!L{CPwla(tB4nIW1p1g+tMe z9#QnqW4iNtpUcuEO`7oh$nzotP#nuZB@!h~%WbZdNnzeSvOXkW@cA!^phye-;))~) zMO9}GKA$RH_us06I6&&A!-+XKn3S)*ws5>t2Hq4Jet#60;;w@(STE8|@zPd+f>|9D za^>q+SMXiwEoQjWt00?R0#3hcSnCYfqgj{PE@(gc;sUBqAzrHo?>I}&zqXq6!Ga0om(Ew6m1M+g+=q#)bG0E>8K=(CxMD5`F%-(D-B z_V1n-)l>#mgu1vyt+~6;m|w2_x;EUaXB0%P{`b|<$-gg51G4hO=g^b*j&p*Uu#Mv+ zuX4~a9Hr^<*Sh5m9}#^`5nDC}Ticy+W^m@;HiDfk^SLqx{+z0NoK2Klkw_`HV|S8f zc@}{H>Tgjabel!mRMB)nep`exWRG?hr~}bGzO3n}wWmy}89fX8<_5B$OBIMyPAEm| zx26Wk?D$dA!S?1xZ=n&Bj&vG->c#*-eS0Hdbn(A^;e>B(+ooJe5AYZRLO_3Vm}@e8 zXOFdU8!1BE=;~M}cfp^kr>``U6K9}Up)JKQ!cehl=kN{7{9jtFfrR&q=<{_e;_5+b zL#A6*QQb07I+zk5sOpMKq(7Wvf{TWynGcY?5)A*TjJI$zWgeI$$Tb&EjgkC1^wS&L zN!t^;i~C5af%fkXYAkMw&NS^RtF^hjo}Jq&9-Lycx(b}7`4~?JibXCt9^*u?18`&; z^8D7HDvuA-`x|A5JSKg{Uk(i{DEdG_Y!6AN*vmeU)Mxp5yL`OiwaW#8_k_o&?~FML zRWC~Of4~352Sl^8;YV$gA{S{^b-RnKI^E3xY_z%59{M>X-!+HtZkiP%a za?vL9Mjvq|p16xZT)eoDg#xZ9(el}_s~Hm0-I!Go5wZ?Nm)L8L!rRQ^7=XTxryECH znnP_=`~b2_uEY89IBO97*=fX&WUorUgDA|5gOYB#)yWtP+(+fHg~=3AHkTvsUIw_e zuy%KTh)~S=Qv4YacQhJy63jLmij9G|rkcL3V_hfhqlr~=V+Mw6WFAEd^+Uu2 z+H$R_; zRyV_eH+ak8*u_t|}cc{aKggQIXxD+f08o3`b=W@R1pTQCVyqsoex)X3jhs3 z^1tU6<_{lihqHdcjK5=2zCT03A|WyH8UVZGr1DTFy`-Mi`*e5iqhGygY+rQdIJJ(L zd>OO*%Id^X9zfdgt`9$CH8+|k8R*X?XkRlofxd6%$R{u~*=SUF*ErN9!!VTdqv~mFZ2;ySQpHXy9aLVIv)>H1zh*Q3ErID_zr4!1M_R$-hn4)ih^u z4EVL9U**aZJW!<+Kt>*UE3_39RRRx2%>a_>X*9fs3jmXaSpFy3k@k ztJB})(bAEMNi_!`l}J-8o_Mty_@PE<)6}`2FTml>z{|>i6_jhth z$smQAh>`xMtBDKt-p$UgQbGNJem=uE^>HIsuIah{h$Y_Sav=vz<)$DDD)d!Q^EHDKxn4K91y zoRBMipIezjX%`Xvjtd`#{&9(ccS{isW?mN_mgGM1SpK!soznf4hf4sKj*AGsa4P&7 z&4vTU;QN#Qlvusi@5qNN$;3+QR?Gb8N8+mq zq3u6lH0pt1Y6yjBHXGVh7MQN}3(HWOC5ZeXBBsX!6cx&HZo0Idq{L91r?y&AlxQ$b=8Af00Z$aoZ}io+89vZ`|mK6FNjlCp(k zz*5=)ul6;BVZZbnq{r(d$M)ibFwi|Oc~PHBmro`dhm$7q+^#H578YJ#s2upd*?3|R zC2x5`1KnA-kp*}Xf7*FVXovJuMul$5mmQ|=Ba3sl*Ki|o-@piPGiK#!xT_lo?i)bw zKmT70%Hn)p%+g|N+Ju)!tlLFwG%Ui$?BD^dJQnLS`^*;VCdbQ#u>C`7J_MbTnU+T% zWtELP|C8cR&&H)ep7TRdX0D}=84|bMwtlDdb6N1DDUpJ#)F%J3+^Z?!BBMz5;zop? zaa(Y)drMX-oYjImqzpNVjRKoGkNSqr(;g%MAG?qe_wIjj!ha({KRMZ3`x2uE_mSf^6oe1@P2NQ9v!Z{C((dq* z7K)&3#kGNNKoY|6)CI{)<_V^@Z67(jhUMAD62}Jma`oO%woH$umzrU5m$m5o4Gk*y zil^xS>L_mQ6I8c6^|^wt-B_!J%=R8JD^LJC zb2k96QZ*f<*MkavmMmhgq*#APi%QcT7w1(rEt{@^T<&G(o@Nu{Cg!Up2JJ$3uMX^}yk%qgENJ&Amr=5BYP*_er@?3WTt(O_@L3hdPh^tkOh; zy$Sf}S+O(}ggKP-elnwmPG*Oa3T5Cg;)Y@6(F`LH>y$b6GGUf#n6Kl{js69F=L8<+ zb)qSevLI5!wy^y&YWy+GtaX&nj%3e&RKp5vg%qG`c{3Y?ctrxX>tE-k>U7cJ?4W;t zR9eAVE@P%eXJ+@x1>!ltauNkpbSz|C(C_-4N6UvprRP^ct*FK}4&#F@>r$15tlBKI zT7Pd?AVWT;7-9F3y{1Sdlv@!?3~-(+JjEww+1CQ%7%N>>nt}UG3tHq;Px)UY%ro)! z-`!!Im?=5H_n{N;0V0;7_C)q9u3mwz#1C}njL-%oqNa&`AY#B+DWyggrvzy1*s8f* zu%x3g2$A$K-wPv2oJvLrw(?EYh3mzu6@m5AX!{{++O0_3P<(1{SLi@?3(*XKzZ?6q z)Ix5&|K`@9!N_*|z+{i^pm?l!?WpVQ*>#Ucx1pfJ=NOJ2#@iy%V?ACZC$b^Roa%7Q z^o!~9Uz|pI4VXCf%Uz}{i=hdbDW4N3%yEFbGE6_qpXFs*0^jkW|Dl09>|}y_4HA1K zzD2mLuZpb|BC*7Dt)Df8NS+TKI^e{v-z7AAslLIFzV2Ft+7R!Qvn134&k_*dpF!F* zTY7=b2GbRxd7N$28rViyeX^=mld@%FX7#>g5vZFh<5=(A6Cnl;A>?w7Buf~II@Gd1 zNZEMF_!iBKUpAB86aiwKnCiBJXhlDh!`wPzBv0U|-ZX)(;m-<&ZUS729WcsG^7Zj| zJ`cgdSjUc|6Z_YKPa{8T=~EPI7{L576gslgZv|FA5og5GW13<3P&o|FjsAo-=G!eb zUi9RV8iM2<;z9Kj|3;%1WiMgvEzt23!n5qUnlY1d`rlTPpS1~-+flWLU~$%a&wj}Z zY3{LA=@gCilz=aprW;(`k@nMr6k|@BYop`xu>kzc`{l)mNjYCv2b60>*<_)~$VG#; zjN0%!)-1^WAe6`5{7Eu~U*ul1RW#Lsw`+niW8}+XnqNlVB$-ji5s~dJWrZbzPYLj{h9LwnsMsw0#MUW z9n0(gQ6TOBML@d0tLMXq0sdR;y#pC&Z_T%~4LH>_vZ>RJCGqIMNn1p^d_DVX_P@j} zOn2%vQwEIZuxNIkyp$m543*y_hls$%`!=q0-qei79i`6>RQrQXc6T(6|n=Ij`eMmq} zseboxz-z1+z1d+z?C?>_h{YX@2`8%SO|I z=vWU3!53j-++{#!6p!a#C3Ujb#pU;yB5nG!bo$gO+Tr1h9N%r}dh<)&Y@ncGR-9Ko zu;_ff)cR&@G~2hh^nkS;po*Vx+}stkv|Lq@(dm3&R*$e+9(M5nHcWJrvh*1ggfnpb zyI*^{NBuGAnX9^oyl2pZZf7wt9c4lXi}C>Xu{ zH@h!dfdwdhL49c zdn1_z`AjZ&uue(lVQDWjPV~>rYFaw}{w=@~_b8mKC*ZrpIj{m&LLEPt=H<9^Wvo8l z2B3^0o2Xq`*IWK{ohQSD~!jfKI{J3`gD#5c*lIu#YMr9!qI{1nw_rqw(5^r0mEuj$E?- zK8*j7u%E2mF1I32W_m;WIL)}VUUa-AAUR;cD5#8XdX{eY=q2mUXCRaTQxyV0(Z{Pi zEC)-=jH0L?p?5LRLR_H@dvPRZ3BAqL3F%ebYWSnHZ*m(t8R}Rb%EX@gE&2r@*4&g>-w?*OJ z66NJ%iegJ5CzL5+=16$@hEDjhk!rsmL&mvbbz}q~6F!Dh^goTZh5q3f%PV2ln`S^NXvG-WY@mCCO3_hH?BdD<9UcTzklp(q(t87dq!=ESM}Nx`#g(Dc3nk}oAk`oj-Y z?EN8p)v)_n1H9xM*7~;A!Uu-5h^QwR&c~Lm>_Zm);D+7S_0|D=yMH9ZBCBq((2SA9 zePs8DV4^QdkT+&_!#csFtuDn^v&bh|{2rY+C z7iFe5D0N6>8f=;4WYt4tyns=dYDABP6_|oi0=~p`e!UkHhi;39aA_@r10{ z7?cpTN{=WD8Btq1K9mloc#BmkuFevj46WvSwxDxm?BJ(a0JKsnZgdSxtQ~Ogf-bUi zGd$e2g8W{)=-M3r<5sQ6qjdvA=VLLuK1K!S{Jm_>?S!^sE+)(T5O6KtB%}93j<4=| zdB}E)V@ZTi`Y?j6q`?yCs6khSt?d6a-H(bHdq6tnV24J%ZW6s?WGI{f9`-uQEmyI5 z{7Kb(fDm#_{Twg)#>df_2_>t)s0z(b6`=`E9MZ=iB94vmRe0=!W>M*C1WzP1zA}(v z+hNpTb@a1dP4>`X-I2WVy&Je_T(D)rD~etYL3hEak3VP7}7zddVma@ zrbOWsfpW5*De^ykN>m})tMgAnT7oz-$m-l11jH@h zcPFXbUOU*_KYm-KNhHHw*X7p5*5B!cOE10jN?)o8k!DNyj1AsVY2PZJV?6YN@*i?~9`eV+8M zp&^W^)tl5tnP5+Vltn?7ycUO-0CqbxSw7+`n9reXO$f3yW>$!Eqw0a7V>yTei#5H%rvEQwKf{r$BPJ;EeJh{z-rD8OuNB5Zi2 z`oA~SQbV2GEI;Mqw{EfaUhrpPDMltZmT8u9GB~WRYbyp*2#~*V*9m*PJOV%aLOtIr zb6g)M^*+S+l2=3nT=$upmD>LU^T{O;G#GkA55NM|Y_x&|IGVKAkRFA3r&^3|WqEF0?wUN?5*V!!h;2+BsF49&gHV6*UlX0Y=4X;u%^_WKMN zrqsd$%Z8dV^a8Q!dc(d={>FU2Bm8!yc3cJL$bz!TT+nP47dqcbHQ~2Ky#zPRH`+5BG<*tmR zeQRPr@Eg=?=+?cUmzFEIq8!Bk_TAv$eVFnqnP&V;zm_L@NS`kEmCU^n zjf1cJEg+F}lCJxMlGbymL01LGO2JzOLOZ-BZ>Q3W390#Ra-=`iU3y1^&|x)@)y3c& z@10J~=$+2vG~>quII)!e3ILU?6*;EpmJ!ZcTIW;UuVXtpKf6f&+{$FWyYBp>v})s& zDhnx(F$J6M>;O=_Kw2txPG^i#JVi52yEVo2;RD;03w<$4r2R-@*^-bg9AY9y2h$kN zIq)XK1*-5uT~9r_63szi0_m)j7WjIcb6MHxpccy)9XGFn(Cy;94w9P~N?@ zqv$V5^i;xkkX$Ti59nvyPL7Ru%+nWzDP@_&U&i7^;Q0HNZv}V4n?#)Fy$t8#b0$OU zafQU_?RtM!rP`y$j$4ELc323jkQHTN zvKY}oBRTdON(o}*h`dYH2A7J$46|>-MGP4qjfxL+Z&o$&eAp>gP@=I&QG3#uxoUqGsJ(<{xNR^#c zykvtPj-#_U0F2nKalpb-7HC8!EkcRZz_u&w9IKxZ<0$fOQwy1OwwfYT47XElyNq~?adWoXvKQprR8D0iNf@RM zp@Mhh8C<>{zx|iu3FjZP^Z|N+B%2Cc<|j6Uc@)xjxsb)XZ^(sKy|`JrUdU%4%cE(| zy(z3lsi?HGI=1rVX~pa`7oM=Nx~KDSj@|rtTZq`&kFFxpHi09`XYvQ7lu} z3X`V63aQu<06dwLj|yy-jE$hF0_spj@TW6YdztwCakCB$_JcC!L@JX(Z zpLLICcWDa{hLv3yd_ZOAZi%WPIolUE%=3L{^(s6l4Hy*9$;3-W(@NgddDH*LzqA8+ z8!$#*#ahbus5JF=fHBUGJ_ue8GNzr2{O--sCjFZOR<(ojYN~1B@6~+*F=r=3+{egs z$30TJm7?$)r1;l5!p`cHi zxX!EusKIVFWCKeEhg`wjwL8_ZE(yu$VAY9*7>t4GiMzjkf~0OGll8C=3H;0-(lTf8 z|C@ByyD|XL%8QR>oE7pVSjG}h_?uR)wCT9OWB@G(LK4_9ie=3Xy-eFuwZFZ9NsLka zKSffu%kW@}PyGP!7W0F%=vHgsQfvc(ke>vmGMNwYTF{#reZ zVQ!gdmq~WoaKZf3w^Bo{YVxzx)xM;hY$dR`9 z3#I<>lpoMVI^^nCgePI%OL}!p?^Yx2T-s^0{5F}Az356^^!k2m2?~Sr?mMqM;G0?Y zx+=T5*p4I`B;F3;gPRBX3Ni(M{3kd>*YxDaJCj|`HaAOqIZ{AnrA1aHoJ$Gj-WQXo zZ2+t;1gL0wIG<#W2P(x}D!G#i)4!Tmc3Szi6+0vxCT21Fy;_%laPh&hV{0ZR>b@m2 z)gij}IVwuMrV6I#glvIzIY~I9> z0r6%@kNC;C%PJSJ>_5_2^y4j9_>?*CO**ouB{ZaUn|c4_se~7JJSgcOwQ66Eo`bgB zn>Lo)tF#Vu6jDXUiIbst@r1RYdtHOa9RSuYJJ-d^gg62dXLCU&Xi-n*@s?X;)D0&N1|6SvX1qPj&Z zY25M_j5`phUDYRU{Q6B>N({G$`p(bm5Gg_MY?-p_{h2*-XsJ9_Dm5ZRE8G?B zr0+xe#%qiaUkQ65=H5}d8kHh_@yJASh_t*wE}|~2b{ltn6?<~t+MG52Se_dK#b#y9 zUWv>GbfG@-`=slHX-U*^#z@eVBu%DTSzOgQp*_hO!FsFb|9zH2jdVUwEc!1VB}XH{ zlxCuPWM(6RuHvcGvesd$Gwc(n@Ok#`LUt))6|7FEZ-wKv9h3|Ia=rV3ic_o436rJK zRv-j~d8a-@pkKI)w+-XNWIt*=Au_HEFtuyE4P8+-^UYT0Dz)_l^7ms$pJT1 zK$ShkeNw;H6CodJWMD)qg9epGjeIn2x&p)%);Z2RGm2o7Ad7Mp$1M<35b?B&)hH+_ zw4%0lQ%ABIMy6YjNWE$BWxka(*`GYNbzA%n0s`ey4>3boxNg-G+Py7VdUyL>CZ*=d z5uJd+m64|vX`x+|P$HNd{vOfT70UHbxRa5xX$Ti_MMuz~5QsPKQ zpCP7yZ>IF0`t<3fj%R{+>eDD){?dzD?>8OwCgv#rYfGa&q|q^xttMcw-M02X>^N_9 zd-4EP;A9)D7+)?uPtcsHYmq8XfX#U1o!f5e71)HIa;@+`D0;x>4(dO{Y!22AtYu!b z53efmD<0|>P=$Kre&`iF|LDb3Z?ssqvvSQ>;(nhfzW!XyT(|lJ!+jR<_;UBcc8A%=baoZ34p>(s~j~ljBzf!-{W9a(0l?0av)qkqlS7 z=1~#m4-M3PJ#6JBn%#^Mh5DZA76}3>s@wu1{Q}AcsD<4xly)>YuN_C zS?1SBrlsc5r@`H4zlo(GZynA)QI|N2jrp%xgZ z&OZwUe{*z}lcB@?w8xxTX;VN74~g0cGJ~g&KoFlEOQiV*o?=3A%Zcs>ltm4+(=x%T zW`lp7yu5z0)M)=d2OBXnBy@cVl~Hb}NpGGmnz=9l+cJ!EZ-Zgerb68Ep+O-Qo#p58nW96;O6CS~wG zhs2@3=jKNVi=12uAnLnV{AE)b1-NK*Rs3F*x@{Z)b27!fb8#2?K}7@fEKAYEETTVc z@{l*Zf91k_=&8U2IpD|NkM@>!b)NR9OTa>&{g)7*YI@`M-l~?lj=ie3$f|YV&iN6& zvV`S6NC!7JM2MCdSM!251C4?(LCrn2lDma8G+NlL(mYwtXw z=Il&w5P~(nnCP&8fCs547^m&kJMe$4rt}g4GE^bU4DG+~F+*$`85y7mSS2WQr++t$ z8@q)|(qb`!K;v%WONN;PM}?60GMDypepC`EsHMWQ9f*SZLe+i__qD~*#3wn2evl`mH$T@dp17uo>|bY0x1Rs6k1nzP{DUo zVw94LcU=Ni7tmCOnO_ne0SJq0KrdmMDZP^V<+ZY0c9sbjB8XEVwaS*rWW-wc#@`AH zSjwDR0C8r?(r2%)Q<7B}PGruODtuq914SSZK<vxeW z`??eC5U()CAt2!8wl7`19~IXqkC#v}gdm&WvQ7QImp}c%O{Z;Nkcbzh{1Ns=sX>RP zJ=w;sE*e@-!-9pB>vDO$8h#1sUV?So!r7UpFh-kxdA2n<9b$JJ>S{bd7Kwi8d!X{S z2c3`DMIjl=6pUlcO(fu7U5Sv|+#EbO)&1?8>ypOP5O*LY9UMzlp+w1Ni_K0mB06E7 zRc~dsVjv%Jg|Ea%G%$7TbS;-WpFBVPB-AOBN>iD?^9h$aI@FD*spTP=Z~mh9gMF|$ zo6zG;Y+d_PQ%~-DSZJVn3mKLSPaL$AReKN7R5RJq*g{x_R+!Wjc1fKTJUcVb)fn$I zg+UydLB95IBKGiZJv9S$p(S>bO{K>rWY2|riy|UDI*&;zfXT?TR5DOlN!@3LS#W?2p=g$-p0Sj0FF=5a1%A#?un?LVcbT1~)_uwst zIHqE9zYLy4dt=mlpnh0UU)HK*{o?rx$i-rb3xNK-`HtoQ@ zsg@y1x~@bMf-Lm9Ja-d!-wy1T>;@uVT`)`Iq*F`|)3yLoC=SjE$tZY2Ps@M1$F#9t zHH$AlSXsyY>WOS_+c_lff$?-PZbna6NaFGFyhZ|O0L-x|Ncu*+LB-}HHY>KNTvD$A zL_ip_JvSG*>TjwGo$*#3V)$K_z9>T-^oX^kSB(tvDYby}3er=2sTC4f`bR73>uciU z2?!Xd?J8OGR-v_lM|qr~Q>{Asu$Ak3cxJVX7;~_;sLI1KvX-9j(bM`6`>lkU7U<-J z@wwfq=*L7EqxmgNR%7pgjs2Wzn%M=EAr{=7Pw7&JuZTG7xmzb~$E2puNFNyB-rzkLY z@=2ia%nyR#L*a79Hgai<`&wwH4*6OJb&}W8-Rt~sw@1iQ&f*h@rjNJ z6^a)O+!15{)6CZcc9Mo9tqSz?zH<6-yMLv|CFle*F{pwU)Q6@=mrbok-d#P^8WfZ=$Dvm(}OunYq$p( zUF!~4QKVI3qJZy>gVRYdJg(Cs?_c(uk@q&~Ncc$Dk#)sH62kJLqLom_EEA=xMI-~X z{tbmxve$%yJRO`F>~SdQ{&_BAZA-g}Dkh0rGSMPeYT5HT_U6^J=-5=`b>Q&kMkTO4 za8Iay;xqewxuKUklEyTZ1nkav!Tne70>)JNCd>CcD08Rg#8p4{JRtiB7qms1&LAiPLGP08L^+ZnyO}Zm=_j}#sChY?d3xflzjQn4DV2uI)MBsZA;(+ z24WLyGCaQ2TQC5Lri>LiL5GD*Ayt@2_kZAOte_YqIBPxA+Q$JAG+W+_%-A@MUiAEGh`|7pJqEBq`#}$7W+zPtA7%@UkVHKI@TsMW zJifM!79Tx1G?aJOl=o0MRFkjsh-M;AfR6uF-VHZqcnxiRN#%$Cht;Z=1Q^|xdG)OU zK8rgE`0}N7(LUWimh_5vUfKY!yYJ9X%9AoYH-Zh#>cV{zd)hOQ5emD`M|%H8{pfB~ znUmW#E`J<=V=s@*6&8zl6_I(N*`KU*L?l5UJ#$sw6k%Y#XzTb0h5#p)fPMqxy1W~o zcHO-b8YFZhKbyo4=)o|m6@>zBsBRkP#Oeg3{N`U4)23@1dH}|{h#B!r^;?Qu8`Ql} zaRCVd`nK7N6utTuWPQin2ZROFc%xspxtQ&4u>z^@q+9hzV-pq9hPY*XE+ZeBb3Xty z>j)633xE5v3~i6N`k%k+F(LM|h2%x@CwU5lO%iAiOUqo79H_p|CUrgfRcojn(okaX zsx?(h<65^zvY>OZJ8z|?HjrQ3P{JQ(+13<-WM*}{l5Sz5kv#89`!HQU?4Pi|UQaRX&aJE11uQ_|r*s&2I(1-Rg z<4t$VK8N<)WTFA!Y+`2ool+yGwSz5;#NWMJSs0 zsRlOarXK$!M>eGHhu?sIr)ZLFiB$`HnjCA^tAlAC{CKeD$J{gHx~o@LUB-aR>>e4i zl}e%Hc1*icv0@ENdPz2D{&f{?wQfSl`|N*qfBgDAg`XKpn3D6Ew$fktLbs#P4+>++ zQ^3bgxr#{Znu=7o?}vvLHK_{w5hYq%MZoiH)qNR)D2Cd`t}Xf5c4vI*@mx-rq6CoM z!dy%pC^7%7w(#Os%R(`w1OKn0dd;q|=tl)#9C6tb1|i=MK(WQCzamPQUfvSml%B+^ z6(qZDV2J5(8HWh2V!0e{J|231|J1_LlSU(eKLnBn`dQb7i54Se3`|;hb=t4hVpT_m z-H!%Cd9e1ucCW5PC}tHpSwYsm;D~Q@cmU32;($id1>!SSyUGt47M`=zb ztN`6x2bVj384{6vCL!mM_M(?ekk{W;`nv$B5nV`kQb)>NboFR;fEl}Yjo55z*>3Ua zymn;O42GJkCtbAB(ZahqjHazke|jXXZj<-YzNINaaG4j`#6Sk2P`3TXONBrL5DVE2 z>TU{q|3ivJdvt7=-eB!H!o^fg{MYo1xImMAY>0`<7F#;CEKgW*lDU&?2qt$pXl3!; z^-@am6=-x#INFH_>uv*Jk$l@Y}_uaTVc*Pd3UEA|yqAS{O#g&Sd28QGdI z(_nZTz3w=N*bGvLEEhn+{V%jDzdsif>WPLZgm2Y0iKm|Em`h{ZdVi*T)2Lx%7@`Lo z36=v*CFPEqaI9!{Eef4dKaZ#j>CM8sk7kyM8%cUJwaxijU`j&c83LefW|+(+@)R8^ z_|7`D$!6(5MZs{;b%%MLm3QY6fYsl0RGg04DDJmZPO@|pgYWF5DK$#+LRxF%s1D3o z^}8CT9hr}_H%&}eEZbZDBocmbBn#UEz_rCPvz&Srfm`$;fa*bb4L0l;%Y!C>UE4jI zeYB~L@*5(F*r<6{~q|$lTB(669)w&_z4PJ5QrRNv_NXA%NkCcD59e*4R*1DE;M{> zY?SE34(s|1!e!JMPv?)8(8)q0SS8vY{P##&2vZlxDxMT0gjeTs~uVM2dho0wF7i#5;RAHzJg;%FWN&Sbh(FwT!LH6V)A)@BDINS? zt&okuNA={lJTF?*ZP$b+UE1iU0-Y%-#$6Evb6Gr&v~CKS){XJdTbm>nE`N9x=7)aT zA!ijop66;h;dc`Z`L851<<3S&Ldtmj?-39~O8Mb3JYtqsPC1yv8R3>@**;2M&Eqbw zb*9J$reW>bCw1xx(ncicO$vwCA&k3&^)zO|a|+)-Gn@(cSQ9xZUD1o(rt`;YU}ftd z;@KUvX>0KC3x#zdo8@p=3JRV82uqo4^<#mq*VPgcO z2SmPm^S*cB%1RxTvxF(L#(;!uVqqhsr3HVhi1DJNfFA(3u{Z03%5``=W9j2+09$ExP!ah+)=fiUuWq@vEGh zRjXDl(R)#Lx#6RSK^Z=fc1`6CgS_)UpPnHwii6z?QR4pF4jr5&KZC&(*VbPvPzhsi z!5rK_&6Mi`&D|2~^)!VNzRfWK8G5FW7jKc|pj@diAbdW*+Mu8zfzvnnq&vVsJ*NS@ z)D60!t@V^*4!?VzA_u_#8+BB{p^LoV6&?rNE}vVL<8*=ZNP&iej04E4des~oBX{Ft z-4sI4d4Dp%OQisg+O;71AVa10L9|j%<-#hmJOa)ubJaV1j}uH{TgKz2TpxA<8(x>$ zYLHrO*ct|75G$P5(PSxQAWhxU%ekEGL=wc&xG#A;CO$jrQn}w%-gbntunYuRcP?n= zLFdv(r7F{^jkAxfr$&A{Qy~IcIBK|0%_)0-Xsiff-GgbL``=;CO6ltO?NZK?A%flz z*!vw(>q;8y%&WDXG@redUGt5HIz&7CN%qrQu&12vg{PRz`u{V7z=+VitnrCiCe$M9 z{D4Gb4x0H*_HCJ^sR1b+bt7_`d5PgjYOnDVyS_YalO(50e=OB+4&hW}%~%Hnn64pu z>;Snz`bj#-!-2%*Gdp6)POagP-M^9I`4a8`*o5E-4we>AXO;S*ofrdA|wAe#k1G znxx)g6!>YxCr?*H2Bvx@tTsw_%4I5&RKZiZ)tcfk!K=J(b_}PhScLb|YGChkI~|Wn zVb2q4Babi5ide=Z z@u)sPJ$-uO1j&1VGF&@7<=5BNMIRuqX#NnlQ{ByHU-2&XoEh2UIHUeXZ7l-uAAOSF zD5YiS>5w(9SkIEDxQnbfLSBqYDvIEy@M@MmHLs-*!y9hmgeJd(EjdnALV~(T8Z?Ki@K|($w@09$qRg049BjMpblK8! zkzU_~px-8YT005^96-=ZM{ly51Dh06ZAVk)P`7(KWi8=g%_-}wkBv7b6B~;w;P>XE$= z$lzBOKCE2+=67rV*g0pgBt!Lnd6ob5oriDfSoE9ixLs=#NA6A|6aIc| za*Zr+5-Kgt0D&ZXml2nVa#G3Jp8>bB|dMmHtBK3rh$(&%KO*yzj2Ol$DsvRW%y@-b9Vrk@hfQ21j}gI!j)2oqT8w#HD= z(dR)ZxjqUla++Hjsku5li~kOc>-%hkZ<2rv8MMz(SKm`#MMh-0<`;2c*8|EuGihO8 zd!SJJ;)WqFHTJH^Ylctwk;Dsvg=#aMIYtPZ*9P583dzWTTA6R`To+I&2O@c&EP}6o z{m)4+^=TwB#9fz}@&VLIvp+CWtm2~u6TDA~d9c!?hjx)xB$>atUeB5yn(6AzP(Nae z_ar6mcdkiAXeNZDo79?qF#V|O^e~j8jHd&{EeOf=}Ru_vkOgaQ=_zujSy zYn!GT+Df^xK5D1dPp~-v=`HfAplpDfCd?1O5-6OVQx+a6H(mEdc&vm*-!DTMwvn$c zB);%u*YzQ3s!Z2&Faj`T?z|4s!M((h0UcUyPMZM6)Ja|r!{>p$_Vmu}YrGDRx0kyST-u|uzZe4Gk!vziN?_OU60PD$oNiFuEh7phx@?iMu| z+e`qG?Kb11nF4biU2$Q5`f%&0#5(-xe@>u-3AxgFTCOu+KHlFIR^B#VSTEuSd!Zl0 zkH4|=&;UrldGmLGU+8bvI-ofAZ=2|YlX?elQ&L9>9*c_}r%2vJoIB(zY6G8c`-7}- z`Giv$z5aC^$EA<(ub@Yu!w+_x^^(ELGcJjG=8TJ;F1BNJcO{fPSkV@yP>7lLCd7Kh z{uuught9K77kMDgObS0LEP_Z?dr#+<>Q44p%H>xwx-B)Vt6XbV*P98d&A4$!c;e{} zl8B2)=h<#=R1o`Tr%09vBmhSdv_wkxO+6>%SS=UlrC&` z=WOm#pY{bTNGlo@Ea_{!Q<^$O&qw5r00lt$zX&=OHXaJ{m*TS1QTxbsX>_2E<-TOB zb{U!r`-4f|Ba2hFm@~RZKWW4acY@C}*6eIub4`Iqx1ePkTe5Cc^pDnV(xDb{TNcqc zDg9s)iOAWQ;z4PyUXFC;Xh5EA;7_C2VrHhtQ@UtXL3MEhl$K{O#?YQpnq74i3M|Uz zvR}2`FD3alqb}Z(`Zb$CaL_Eis=_5Xb_t#t0Lin~HP|zPk_G<&q@l$IG2W`!8@<9$GwuJ2tq&LeSc=c(wd+!QFp1(*JB`hhVfL0+Z~2YBBc;db zsAqhqdxwV8`e(W0AE0fVsPeCUtAMn*vX?}zff`rCaxRoNv{C_n&*e*9bIqb-=8X(? zb4alyxQ7j$;XIzFe-SrYov43yb+Hr@MW5Hl=cw^J<349xq0NYg{u`=}R(u_$BC^JU zWvg|iLHf^^5eVGtVTNn}V|<_SUV$cAFk{m}e2|>zg4o_&lJ9+1Nc<$9yN9UUE3VJH zIVVo^Q?!%M-bJTlpeX?iIn#jueQ|)1A1X`x+K*Lo_cPrE{K8t1n?A>s-5laB;+xiX z0fk&V`To|)5Lg@VUm#6Ms858H75yS#vpCv(W8B#*akQQXM6-`+;7+sa0TkYC933=H zK81!!IM2Zas2em#gbF+r-(}1R0=0=wEOY77O?AH?qTfb{BPLRzY`!6~ zgmG84R28<$JQP=y!aCB){0SwF^g?D`l6Ctn%)UQ~+l7|+NGlT`h^&3a63wy@(~5qP zQfr5!Lj?3=x8zvJ`dqpp(Uao&X2EdDb?hQP;#p4HhdZk4ojWoO&Nq;b?(}Av6*i0n z$3rBfJBm${?Agd{Acb2?##_oRGH`vm@41pnrym{WF;uOy0zE~g|XnP>~wV9@I);G?1o zD(W?Be=LB+Zay7m)si*ZWxRyL`q}MYVYZs>SqpD+4^#9}M1zc`KDFF0y%#>H7ghli zG5=Wj%LQ-*b@kC)@|YTShB+%soL{#my{V5LcP`k)g1OK+P!Q>5G< z-C9>)O$T}Z|HI^jtCV)E;*&G4JA`M5YW1~eZjmjGl-%h2TG`(}v(KzVFD`M$)I`Qk_{=eSt+k)NM)Ge zGgC+lem*89XvZ@B5>}el#3eGfFBq#YV`WIzs;OFBI{D%t#QDNhqQ5||4pCF({I&f^ zggitFN%s2Dx`9$9x#oi*mggwPVdzFQ+!i63yn~UV=3+*hmYveSeR+U}B8VOIO5u1@>qS1vf*mzvfhXOl|elwRD z5-)HpVw)8ryXhx|3R0S$;!~o^-Eq8zboIJPffk7CJ)Ig?gisHL)4b`NtAGO>!iiK6 z5k!;Vatg~QI$or%J@Bj2FhXQ;!$1Igz+oX=d$kM(8++fP=3k+HT@1g0kUvY z1~RS?RBj6A+wrP>o)44V+<-H%)-}$!q|AMXb`j{o?A#8D6Vc|Mnsb7&JB$;9|2%n`o6T)9J^|~pQ%{mF|NvaHSn|fPECc1^^>8%9+up5_ZLSS33 z(R?8#%4qxundl~Q-zFkCG{%IDzvDJpSQ+<@0fxtjAP};frmWrjewL30qc&2?}KpQs@^ z3(y4qrj?uN1lw7)Ed?-#Y74!k2bq`+aiZ0#Q#nlW1#8RR7wlG(nym0|?GmRF^KiSA z3U9)t&CCtk^2OP!RT4>QNP$}Nb+K2#?8F*3qI^p$sQ84>RLi>@cH4j{+0b4&8W3Yj zOoWZAkq^|`)YyIpH`d_45R%mJw5m5eCAVL=pGF|}W2hxxp5>9_xt^l*iG*4o7WJQ% zB?%iHVg1V&OF!ho<{v_qz|EOrP@Q(}_Z1xw>=M`1A+Qi3j;{MP#=~s@AY9hXx;T|M z{Lp{+Rh(&94V~*;TD2UyV)m0RiYQgq#ko#CH|ItMb?f(i=>ZEDym`1iQ(k@c8ve}Z ztFf1Ky3bkXAsdA+t%KGmEq8&j-s{FY3?vmkfNTa+07#0^|B|5nsg zr$ISTv_DI|Q=XnSfbpA&1Mu^Z`Ov2c$V6xou?U zT8mti$T|lkZZx^}?_4(htl#=vN#c{)ajcbOmjsAzuEUH{_#YHy8C7d9V1LEoJ*6DK zK`fp#t#VGrP(Oi5sp2p~+a()P<(G05&OIJj!f%7hp)j zhnd3E8-sa`GcnDDw)Zh#uSIu?j}rK)3z^m^N}ZJ5;Exvye8b3=%ZGcTwD?zFo`h7? z(oyXvO#D5a4$lgSxw}3RANA+gw(-MaVkr$l7H=-LKQ^nZd6T1NtJR!nBMG%4DdaaS zorJDp)0goK>g>YQk|$e1KHe>>X0i@EGNw6awSSO5<>c!>T+ca%mTZ~;l`T5}rCou! z;~MsFT4%jTnT^YO7D8pxc>D7i7g+S8f4!FJa#fmJ82~px$iI_F0|$a)*54Y3fovW+ zY}@!?PdW^)?;#R;5|LYfn={AaSHxwsEV*nKhLKJNVn`L1mHkHnZ4yx?p$L@^{a<- zbbve^^}a^4+`KYSr6vnva{qirq`50gl|($c@E6zLl5q08(3EqC8H5Dsj8sv~Fp#r7 zH~qr5LM`sgy9%awS`zJ)IH9Ct$+j=MfA>*_7g%_yuqe5?MVN-cM(^B~{HTjWKF43S z6|Y#c6>UcMvdI};HtjF8!JH*HZ1NcxClf(hn(Wci#S@1}f7i;0PRN#dM;?b5#v6k& z#~G+|EGOG{hu675P#{wIJBCWyj0gHWMij=ek-3n=%rGyuspFCLa9!K6r=KuJ$9dQe zP%If#fbw_$iK5$-o)3zf*kur2zs?#W1zH&z`1;R<#ZY0c?02yEbk%H_K@e)K=H;CHzZ0l{p zbiop%I1#HN=bHG;`5f8$f=d}uApeyPCn`*2z|X*1hF^|u3YSccs5!1S_N?lcY(hq= zF>W9uwk2_kqfi@V>|y1jClyPgpgNbdxTGMIq3xjQ)y0Y;_hkjjLCj{$s)qkyRhMu0 zKJ4+wc|jA1G=bL{{?YSKkv&$DPqpP|<)6Gy*y@l7bH}@=aQtMF7gD^>$-p9g55*yw zAck@|VVnAZ75%5wwZ3THUCHy8QMtgFk6wGt+YvK=5coLot?N`F9RN3H;<`5!OtBfR zcD{4UNxCihFF@uMN!6E#EmaZ9!(m2!;gsCCsz!y@iGa%#r9%bc8>~Gkc@`Qg(<<2` zIeShSa=Q|JI$)K>lZ%?y_=RC2*Pit2>oWp5UwFVM46cC;?L>e>=Cvd8o<~>)!pg}r z2??Ni9cYqzd-k*LMvsh>>jxB-cw>&Wh(#F^GBBCEI{JI|AJ?U26gEJ>JmA`$qXsE` zD7q5BgMS2J$$oahP``2VSaOXniQ~yNyx9`AP?TBPwl#OL-F30t@8g^*Xo|q~16g4@ z?ZDZXX$ir*n?Tu`UkJE$(s*0}{x{P3e{nezEzNc$(y9m&B`a&8Sob3Qc0KlI8aZ8n zxPz!qH$!$!^bwE%R;O~?`m~PsleWt-Mbtql7V-+qoKr2pmk2wI?nh{Lmapa@_RkAv z-}t5hSYg5fkgAQrV?Su-QtCQ)60SC6E_1g{X?jK_xJ-G1!Y>vDMo_$H6)TTnH?9S- zbB=l4$|7;>)lQ-j=K9jiTL&v6;W}*U2+c~WMa=UZfE*qy6a!d>L2>Aoa~(*U8?;;Z z3VQdohx~Ni^u>@bNR#C$a-3G8@ru;BAgmoaF6_0F_5meA_}D*)3xqty`AlL!37H=o z*T`C`EJf_0V!Drgs~*fZcG$0!=i8LTkl;!P{+@EoVmXHoaWGc1lO`R{WcA2;81h=- zk|_$QUuSTNLDqR&^`|Ux4@X5}7U3F8yHV;&j}qZ^&xg|?H&d{LHoU+(%K&kKPiHL3 z@OzoA#s73O3eNx6JkbwC^@O2|BlntFrG*h&e$A+8C1ya=wT$wrNfW_hFZt=3Jn%?{&)42@}C=Lczz^qQ5@F(LNn2 zN=?XxHBKA6$|lL2Q(V$eCOqblrU{NfZwaT{>sC|Gag=ZCPJ}vzSB?+ImJs9I6baH5 zZYF;?g<}WYGC3sv)E^X%zMBa?D`Y+*qL(GesaDl3zUSNitOjB0~QwrG)DE3*9?)yn=j4*W|H#8LPHmmEjV&Z zttNg0dH^c$JHQ3o)QcUgOKEDM#CT!A<}^zMI@C?nDJ?#p{08?%`)a3|HP66)zOy63LCsi?U>zFya!3Rj|Q_@`(c; zTm>*iP5Qr;tjEx@d)b0%8NL&ZgjOIT$6#$1>)LB^}2Tg>@hGz;N2MYB@e zcWw!T%nTWvv(?dZ1v+|2aa$9w3Fdd)>$WUE#t|-DfJm6#y{drG{1(0p0=3=l{R>=x4$8m zY0oi`4Z%``W2sJE;l8r+19IvqQs9Cu&V{dzxvnRAySTN^P|4YTy@53Ke&H+xm@ua` zZOdCXV2E0aI`ezT?UnW|5yv;BmK_IkNF_4K#VHX44^Sn2cV_m=o^Pq4QIlSFadmp- zJ+2NoMB{N>ow=zt36Uxv&orB37&C0TL158m;xjP4{_=XSq>_>gm0TWVJ&PW?yt;0Z zLvf-J7Y#8SC1Ju!F%~;M%nLVPKUg9z>;AaGAn@-OeMtnDbK_&@L-cTb3N_=qFOe({3%&QbScON+fvj~kvBMndo0N!FL>>n9MfJyh`xE~n{n3q)C#~BOP;biL9 z@)*3ldX3aabkZsw8SB6}Jq|MIQ87jQ4mwm8} zEZh~zm93_+``rK{Rvg$K7W7VL@@@9qzejVynXeHbY{jG~%^F8z+JEaXAr6#u9D%~Q ztglEthoU&aW|D&^4D>!vBqC@u6Cg@Kk3LNX#-8~eW#FazW5As&-2}6~MJ6*G9$|2> z>BYbmmRaeNbZUD}wJ;JJ)gPgTEsXrqGUj#QFYq!{g!GNQ($YOZoa85&7*h-46!Vm}$BV;c44X}x8N(_eGi z5?^KT<`!05R@cd_e&tq2{X( z^S0T@(blrSKYetXrz9Yljc#5W)MmzC>vaRE4j(B9kwA`h8>mTx+_!qm7ANLuB5WlPeh;rI_i*$nbeJVC-N;L-_!^jKEh~ zPu%yLfAqp9!MvG=b-YH$uj*MJNct>{37dEmbB7rSs*W0p)KZ%SZ%DUv1OVm?&}{)D zJ;f7iCt~n-geGl2q&m{IZR6yJ0sD$uBt$t=)itVUaZtIdkt#oI_#lG^Mk0 zD86ogMxeUiKy{jnWwVLycdEykbGmIJ&__nn9bF)}xfs4@Se!t@4a-2@ zvW?{akt>mA+k0@#anZ|@1U?y@dmFr>pw~p`Bn-oVY?@8`h?*EcS(6g7WLq0SYSG_W zJ-0WsUsQXNY*CBG`Tn+f)7$6d(#MLp);np7w>1Y=}Z4TCu%LZo19~}6SIMM`!Bq$q%sL?%v)Od=h6@4Ru z@Y2~S-eKpH>2!G1vlu^Pn_gYr#Iud|T}zl&b~N{YxqjJWrGBtIipG`>+GUw6j9zRHhwx=uv{iilx4?;b?dXcy&p)y0YP@53~;*JiRoKeIHnLsnI}YJ zsZgOoMeuGf&TrX$$Eym#ywE~!y(nMvD{ymc5dk>GvTt8iSyJ^P)a)19@hveD8Sc_>17e`D6r^)I;y&bDD12@8W@q6@mRS;ly4@1^lt2(^ zj4P;(Okmkmk;eB2Q-A7Hm$6SV?M%L;{dW$wtTNyQ6jXf=0Do_I8FwaLj7sA%+5eaN z#bNZI?mjmiXg8Sn@Ma=Ndi|%CUO^Z4I??1t{hdZSjk-N-@dEt(iB*SJ9h8gk4Ldm4 z?z8}KTbd2Pb~^@{;xmdp{F#@M=3iJLr3W$rUMerpwRNppnzy%IimCS zg{R%x))p7hK6KM|(B`xr3Ydy9`~t%B@bjIp9=Vo|Zo+W}(Lo7qN$W#D0-joE52dE? zE9@&c?Z1q;kk z6|L)CBWb~fyv8b18)()0_>Z8E?q{AzrCrFJ^|0dWo)n3PjZnp_qJbd?XDNQqU^cvY zoRusNSZ{^CtS*-fz06b*WJ0l&Exz2H#u}A-f(Bu3umerIiNbxM3GGAqwE)MJA~1rV zo)C!;ZbdV(v@8iolk9{tv#%(d6nHPMRcTj{6H`0htABr&pZf0iA?2!Tzsvcs$s}g)HpzIz`X&8O%X}SFL|;g|OSpGOis0POK+|2K?YxZeD3?(ZN#_3`nH<$o?2bSW)~AS# zQNCu$Kq)_Qm!RmQqBcS~+cyX#JL>J7wJdK@0Ge#QRCf&h#eA+__uo!`*-cX%v`){7 z8LPrXN&E=9CZ@i!!yj{H&$7=0UIS}15%R9KJLW-PKxzUNG0X=k z<;!giV^7X!FwX(iGVVJg@rp$A(rxCYx2x3@zU|uvO1wKr*G*+?3UqEIJXx$!7{+#)jj`Bx?QqvojZ3mAoqIF zMo&6$i;xtM2(J*yLkjo5BqzU?EQ@ylW4k=evJ=(e@zHEt9|>MX=wJ)MV96josI40m z2unnlwan_-OawkIZ%8+AK3uM>25S>lL>~R2Sbif?V%1NawI%x{go#NT2x>ic>d)^3 zm_aI1#7D8V;0hLy)WV`uX9&vpW8hRgKpkGVWkT+)&jvZb57mVv<^Eg>{|JI*LS^Ic z3Nv9_ymj^H)LLC4`4w&gF&?G{GW%KkFzjJSqSoXmt_U#t3uaHDGmn>Rc#KLryt~5- zkS@oN5X0<`c;C6%YtLgq22C_nYfsI|DyI#dPAPKlcyG0^ug9@(GC7p%?SXab!)_ozM*aB&uJ|;3036k;T{318k z*2%2C4T2bt3w$J?zwMM}ci-w`D1=ZScn3%)vPhA$uM)RIY;Df^YMn_RCw=tr0Npo8 zm2ugU^pyG36Cy|yHd7*b+Ol49c>xOGY2(>g`d3S0(H&eBEq9BX$_k{@9X4(O;;9DK z@nOL~aQP}SzLX}29}+fJHQs}+HDrd#$JJ;=tvQV)GQ-Tw4a2KIRh7X{^_sm0Z~&l5 zW5cKXPc?@74oW7BJ~_wgJU)9dzpsZEbEuBKaHV#o+F!gW5Dd?}U%TJweGBwsmqrZ! z2%Xo2pg@iU(?!wUmIXs~MJaM2A&@=?cpOA`kzMbJqc+Hudsf%(%Rw5JV(+As#iRjQ zoh!sYEN`KW9RcaCyCr3dj9FtCjmbmIDXWoHYT%d##P8Vsr&R(mettyV*XbPy(e0~u z!9d{zMtt4E3^uCEN0Xi*AHZfbBt8Q8=7*Hfg2g7f9_4BUuE&RZ>cJATcy;0hVJ2q3 zbY|Rhm3Yz4^1?8nDx&M!#?f?|h)ZgQi*?UgWkD*#PZzW=BhjEbUrw*f5S_bk{E5$d z_kh?FF)LfvY-?-kxhmM8i4d)dX5rwG0@ZYkaSpTfMXW(TIpHX4qDMzeb&-IAyguZxI4*C^wB!eFRV6H zCrLj~kcC#tHhn*s9zdfBik!E#bBf>&j5(D2J*C}uBC??Z#i^g2j)04-a@tO;~ zh!UJIK^)r;yObeTLH8p1sxW?wx11uN272|sY{TMehBTC=Fbp4B@bZl1oZ!Dnd`|kq zXs~{1->v3&`i2*51|`^&k>ndMY$hv_yUdw&GoG({%y!Z5dV4$>mMr_0+3t}ZH|m*4 zq3GIUrNWy|rF1E_B7M{mhTMjQ>h{uz#-K__Bx7kIc30At}eXMW0Nv)rm z3L=S{2?+X_dHy)C$YSw}rpKsKM(~IFUT1P=>0D=H+x`nUh3J;q%j-Xqp2$kr;OO6{7>4v_B=ImF< zH+!lE?Od{7sAor0v`vPH6~a_f?C!c=X`E;Kk^A%w4% zcX0~VxMo)H)PFSkI`|1@Ib$N}4j)=&>mT;S`4Ax(3i@FF>U9=75nk;cM(YS>j@{+b zD8`FZf19PRXYdvzn(xZC9!VqVVi2))Yt8#Ff08haKgB2cX!!O9*1%V!l}vediH1Xd zpzlG~pbNyI;Y#DB_dHu%*n?>7WFIubfu^OYe!qR+`ACV8pwVxB!w~nuUGDR&8WR@_ z`(s}BBNAe_Sd4#BsYG~vtR#+Ua-4+cr7hkcOOC4xu(<&`Ki9Sh?+ZTC%MFy8Knx=` z!4FFB=7r)oRL-4c+9{5*oMqp+FF58aP^%f{xKjSfgyW+yK@qktl{I&#&lUliq zuYJ#sjYi^mwr_D#$f6E$*^hF|AB)C6R4C0}5aV}=z$#HdcubwxjdWC(L!ct)|9mPCZU{4^kw3>kvU0Lptx69V@55IwY*X>>(xEnHYkvd zAp^-=k;(H&eHX$-G7vLwYrCY{!=tBP)8aJ*Kn*pjZkyn4{>&+;Y3322xI&rY}f`@-Ux{vLD)v#Q^al4lhcZ#56<*&)y;#uXBL|8>a{4fRP0HiXWbHceQko#)mgC)Zsy=O{)vglml zKd>z2)5atBIu(x1W&FQJO{U9~fk(7<{2=SO;2Fy(gcmj+k)mql@QlSsO%LOCWvg{+ zpNb4Giww=>JT;U=$y<5>*Xc3^2i6k)0M`)j1y#YH)HgnkRsE@p&3Or4ZEc1fLsbvc z#aW1u%9xd^DjHUQ4OznZHsI2A1w`VjdE$iQry8s371d z8t(yH97}|8QE#|^xaLpdFl5e$)4Z(T9y9}QC-kKy7gwFk$aIzJCIf&xuQ1xN(EyI} znx(88DXAr7??6jnuKO@Z$Mbv`%mDZCBwqHnt{{3*JUP!00W*}1fJQG=LwL6w36D>o z<>wv*DjS+{zY!BdEP725GL$!rKHRtobl7kjZ&}oKtdbs`t7Y+cquEw9^165JiiW_Z zwzf-|!(VwNZIJ2OhmQouF)!^<=IA|lspZ4dO_VSFIJQZn4Ff~TvgD27fKgl0kH3QL zmqP7iD>ZAw<=x1*UVbyLZ@eSaT4ty%n<|eqPj`6gC>R_bSdNzeQ_J4E@+UgahYlhn zF8G7U(-0{>d+y}lu#=MY%lEva_#kEbDgt2Kr@Dq5gtreT)qy4H=5j^9e@CY=QFGuY zy~r09Q70y7iO?I{s`Dkd=f$udCmi!-*Xs}t6B_p@dqr;0Gw-W3atlh#Ged&@yF#R5{UC3wG%NI@MmU0$9R#hLznlK3W$|l{M6K$KepMflK$Ao$K z-+d-kD&}&&a=8ZL+!HdDfZQN5GrZ|kvfmatLn^48#dRSM+!}KBX{d{psUqh9^X4KS zt0T}$CY~^RIYPKZbmVRZM?im>U-YCt2dPx2Reb!H{W*Ma?21;flG_|m{wQ?Im16)c zxF9Izz808``WA4PN-GW?!pL|`FNKh$&8#g6T{oyTY$UUp@{5+hSqeqOk`Cr5tZe+s zi&)2#X$bP{QpP(~|3Afd4Y=SiEe{08yam#07&#*3rX7v zX9S&cS4m|8{JzzE3?y8u{X1DwN}4OuuBCP9uOKh$XxPh>SSeq>^S1`o?ZQ;m1|pF@ z*)_BDr<6;ZhZ*7NX`|qc2p%Bah2vXR3(fW0 zcg~(RFjE(^{4Fpa6?i1^FFp}nS2kK{6LvTTUIn>9=-1UzLE4Kyye<7y579I!n5Tb| z=;EQ}=7aU3K;o_<&PVP|$W*lu8;iK#Zak`U8zp;OW?M9`; z*yJ_4SO4!I6j9T8IIkJ3yz*5DL;Srx?qej%j~0B`SpC%@mksd>_X@-Xs%&<_{}!R8Tc23*rnc=t z^JO;cW5Ej3_Oj$jS92ZRCxI5oGqqh`nL=3SjU}}n^8bs;gVCMqfzl;%CMs9>Q^}1N zb_vH8F9<_KulV{K6e__0s9Go_no2`Qo$*g-ZsuwgSkU0|O~{ZYUT699%Qm1GoQSxK zb2z1vDh04l^-ij$7FRsRri1vZr@q)dM)S(Dxo)G1_ z+uGU`?R#7ITsR1_LLIf76O%Z{ryY(tCf*F|3l^=~ag?4ixVkVfG{`6hfGD{VA_`v) z4vnVGFJ0ZpQM0k}V4TvD2&)2VeINyC$}G*<{)f~ ze0Mw63yw^CEWxo$jozE^eJH^KKp(*lkW_($qzj-v7)Rk4N0$E;2CQiKufggi(VEgS zz}I7)`bPcz%t$N9i8HHaKoFgskeyJf^Zo?)edzfkiv$jVfyDB6xdiOrqP0J}w_N1r z>qJJ1KvkUnIS6{aM*mSzaipb(^YExcubW2haU+~zYUiF!%tYHnn~!wXL*AmJ@AA?q z-_GSg0Cw|_e&R6xKr8Dkw%}16n+VY(mXLFZmWyndi8JKOLx%C%F%eVFixz$TyhqR@ zK3@QT*A2@-YajTK2M8gD;20K!5&0avD&>VwL?n8x3w`zu&>1~mAtNV$mFb~k zCZFVA?y|ZmPU|*pP3+(rWsp@K%+mo-%OF1GB4m&M{pE?1tVE0_p7)M?;6y|;;r%cq zyp42*A>?2Qe_hENlYd`8Pm%*!PkxrmEtKgX%>)K4g{RPdLtKtWbjRzSO4OD#JR2{8 zWhzVV;wpPDf|*WkkR(I6d*^Sd)CGPZLD7J~NcGXy)d+rPt_-hN88t|BRt10)dun0? zWiYG?0Y^@>-Y!u0^1-^cOj=p7!QpSmF1P-sn!GPeC7`4hpYwA2R?4{P?)Vecl8-Dk z5Zm^^(jZq$aVu#&1G=gQZIqHS29gwU>x|Lj#o!uv>zIwO0$ZV)optgu>os`z4y31{yKd2v%^qTAqmom+3ZhQ?!6A!cN&sO6?K zcjgET`Rz(4Bttnq;znW76PkouY|6PM2X(@A_y^SWM=@p zT-ZQDn3fd>He?hCxnBOmK5$msPRB}VukV-##cJ_&cTf=%_#(-|rG?zZ9KQo;^@{4o z10T)ikfbovF9-BZ9a;8QK;GG!rj`rIBu0GfP5P&lx@KGXFAw)5hKSqChwG_uK#pudsQHN{_ zErl!wXoP6jsu9+-wX~vp@VPxz)OzMF{GtmOo4q}YRc$XY&$Ws*4$@3MKT_Prejug- zfTNllD`X#23&VR6$g+tVbDVZTncH(5WeKvjCU6^@-ca7x?yGS2yHqD_la;)Vv$h1y zC+(>D201V$SYwL!i!o)}@kq=yg4$p|)LU+#n@qEx20vi;I~RCFb3VS49*CvZc`y+& z0lXr^Fke}J;l;NhaD8U9Z_EXVvYmK2G<*Pyj?1FqpTN5MI+Yc?_?waU! zoYntavEY|d=cZ<*WB-|D48@Ox?DIJjwwQ_7%!2GPKL36s+?HR88NcME4}x`pJM$dE z!U|osE;N;Ue#+Ah})aS z#Cb2;eEyhyUpe&6|UT|33 zWy=BJp^tTwm`I+OHgc|+S_w-Xh(#@vPfLzwdwq(*Jmlj4DCB+2$k;x6i99}7?IGAM zel@iqz+@~GIc$xf*G_!ydv8un=0M(x1`p9+*OkBRs1QX{Z;KA1(*AI0uA*8Cdj!DJ zVEEZS@vKhpg%_vqNlm8HcO9HoVsXO}c2j??b$G-rqlHKhax;9DZ%-r&t1b*{Hjy)S zZ8Y|l&@Gz=;yGU1W2iGTeg{RAq8{m1Lge2UiI0Le(qJDtI$Y=4HqE5YG z$W0r3b#y40mP0SFkj;OR$vJz;{c?=JHed&jiI%~wn~nxKvmsV-oC}u|?(qfIahAc7 zBPtclH{RXn2KIR)(>++TTVU+C2OTBt0A_v4Lm9{D7pU)4Pr=Z^ipT9bxl_S##abU0 zAi0!c*sM%3^#NDGWP7U*(@_8J&xYF!l{*##mb`?1EpAd?zU7+q#Wh&WBUTBo5 zA|rOqOCf~%F18FQRl{^j+rMP5VOBLY;YUaj$BfB1s3km^OQp|X@UsvG>FR5el}f^4O={dg@9`3|3c+47 zW{pFbJzdv1I2ff$2-g`xh>N zhoYXy6eI}SROXZ8vpKnd_??Vpq_S>4WrDrjS#CB6nEVn!nck>td|eTWV84{>UdylUl~nLQ(x^vk0VZ><7%S;%Xe&@k>5j)hqfYyIp2=UA&wN zr+9+VrDVxRT!(o3@^a!l0&vR&Rzm{ZI2gEWH@OM_c0u?0#poHg5pC*tp4%kY?>X;d zF)nUa(w0LH83L{@6FM@gq?{+IL(W^&EtXACk7zh%{Zp*7X$D7K{>*{)8EPR@ciWX! zJsx;TY${@>C>1hjX7T2J${>LrqrV$DurST>=6U*f!Wbc;W*4Y3&>qWx|w;wFPLv0Ol7E& z^(Vsw7AV=ct2i8#H)j{TdiW4PYFP8lq8P9wE_W9kNZxwD$B%fSR)c87%4?#J_8;k}b7O8GUW9H;rV09+BAt%(Yfm`78<1r_Lj(dcRDh+a7hX zmuQ|y8Ho=m$4{l2mjrCEw8Km;ugF}EhXOsh%aUr&z(E}~jI#~6-K0;p0ziVhO6$Rl zQ&vIFkG###=kwj7<4lf^6m8fv;uLG5H} zdN|E*<{&e6T3j-?NdFpdmt+Y?-=A!gneLZgro;)bNN>psdW_yz&3}_s(TeAAZn^;Y zgp zMIXyTzwbh~n5u0ra+E{fN37L)y~~D0U2~|edKTtErO`j~T~4lFcll5uXxcLbXF(f| zus?bAs0+6&Ule)g{7Y;`t7rbT!1#!bOtHol3U(8>%;-_RVY7z94yA36#^G4oA@n^! zQkb(U_$64D&y!n22Vr%7JsJCT4I#zb?_m8X01Z8okpS^^;j0NAQjL{!975 zZsI>YRIj&1c0JJcNXL4=)T<*S?P;Pfdj9@yLC5LO zqqdzO6PyzPQ&YPMR|HJ*RdFGK2dL1Z(T%m^jr?0>M>-+vBbwH;uomRx7t-%MKmnbm zCcHb&{xoM{Gzhr;rXRlrE_Lvpr(C+l-AQSv6g@%{x6DeB zywQHtWy9J4J{EaFsN*yRncxLX8pc*mBS|Feinb}o=Gkijzbl$=ep{KI)+NMYu-jp7 za>dN53@TR&x068=%}m>$bijav!r^H(t+Rc39fUx*zDawvCIf1|YD%(&R4$-&oY?b8 z3pUgW!!z%ty<=lGa1r$bvJ4A9gk;Q7jJnF164_V-J6ch%s#$@d4A~tPqka6uliM9K%@X6|r|(Z`}&cE=3+=hv{Qv`BMf^ zmJ4BmOGdDA5H5^EEBzWLQaVPhzA-rKm-)1;n2vYd5oRY8Ewm9 zYQ1q_2<-$TZ@Io;t@#Gw%7vfoTm~ni3BSvcNDtf5MZqvQ!Q&1Dy9?&;#woX~gqHw6 zWmhr=8`%Gft)>}Mg>M3SMDMg@`wCKpbNZRTbpHfe)5X(4&7!-kuC&A}5V7`Zno^rr zpy1ly;j|vpq^udO<~x>T2Vbquce;`lXIU?S)i4h#@Evb=1+TY4!Xq(p)=jGPnolcQ zg3kIrgp(gB&A(Dghv_+uLC2y>C^6*tq%u$8T*b%lkN3Bcn;2a&D9I)wnQk-|iv8u` zJ=(=+iRKe_;aPWd%zywj_Mdh3v1aV;a`Npb5^Jx7XF`@rOe8y6B%^Rab49;z&s+sD zZfE2dFOu|BBivQ}BLZESWUePp2f=B9aF%<`)E?`L+a@mmEq`ZKBwD0oKS z!7;VFk3@@0x*;D+K{FW`J)BmD9h-LS3Dmz!i*X0iJ3DA`Xs}|~Y&3V6FSgY}Katbj z)GR@nfatMho6qK7GfbDD69232^|Uwxw7fzjOtt*9bl8ShP1#q2fRzJ!^xy7`vGiBO z_ksJ3ZbMHS6Gw3+!xlrj(YSDNBMP9`6k@IyLJcD`{2J;lV0r4(2VDo_+>}iRI-l7M zL|G?njH#ufxxZ;(DfJ_w?!KmSqfa4{dJ+5m^10hyhWa8KZ>!e;c?t`Af^`BJ=!0F1 z^Aug%j&x9+Qtfr_6F`Aly-9?9XADF$!x>q-_cdQh@DJtlZh43m#`D(9togK9$9G{!`|I+@ zh1BA|^0Pb@>+iaqlD{9y{f|}f&yq(CiO68gEb@BTov@@*H+2%=iR&&vk(H&jpXK@M zbe6E=)a#!Vtd|*$eU*NW0?dhQ8$--OUK=F7Qtm|<$x8h2ltS;hYY}cBNK?eNODhpa zg}S$t?BYyGm|QW*r~%z)^EA@NkTm)OiVDW}t65%$UE2w0Uv3_?f5$mhDg4<^tTv(| zT^}DycEJy9FucUG-ZBO-Pl`c9YKYHln;qiwt-)DJhj%Y@E=&Ys*qWl?y6tZ~5{@L3JIO`jCX z5{D5q%L%9lBScHB2R~4F?qFZ|Y38MOL%^G+%a@>ZTn1`a4NZY&ol3T2VXy7f{28&(TNA-z z(0%iO4Tym81lv9I@5v+>?BOZw_18uB>%kL!UFiKziu?pwW04IqA3=rGq{9V5PAiA{ z4ZU1p)@p@C>?9Q^c}>un%hvOUVD65(sWZb9zh*%_F5lS4 zv*quCH=)-t1db9mlhBL-dBmHGPjp z!*AhBFa$1kzTL(KeQUvnP7bzzc!~|D3o$@}Mj$!k5(PEkNT}-jeQ~ROQxNw`OFhi) zAINeCH2!;$8|6>)9P~^OZLC(wQvYp=T=BkL4?%XupC1RwMwC&E;4IZL0-=H&DC-U+ zam3fLY=0+LJK*WP4u&E9uKlI-`=y@n3Z-5%3waA1xIXq4e)IP}jYWFy&_Ql%QBory z(4EhxwEBKJrm7&2U|87S)_3ePO$-b0+aEaxC~TQFZ($V4 zD91#SeUPymAm`=c(5u+*yqHL?K2opJX$A67Y#Z9Om zShX_#qk3Q#2VBc4B2^4of-+Z$YLQ+k@W_#Lhz)-(KT6JD5b7GRYyT1#neajKqh$8J zSQh)tN+fRqkG~xoMdE0N-V*r4HoSe-GOE;(&#P|PpJO=VPf7OC=Vkn3f3ZD+s+EX;gcD8asC3Dwo_^3gF>`AhQodHab;BE(*qXFScxC1^Ul{H zBi&pO0g@_gTyzDOOsa`k3 zwa*cT)bNHgika>&RPJYY$2Qhe`c&T7ru3DVMI!bDl_JhF@M+L1ts_Gr=;0%-MjgRM zG@|7z9h@x$&9LPY(6T^kaQ~4{KJ6L{8n6_9a0ysJ$jD(4XAk=ufMuWm%om3uXby&e z;4Kn(7cZsQ)e@TNAIYjV{GzTMzLb$j8ZcM;bSz~zoK$~jZnOZAPeGg5)b0eC_IyUV zW3WTsJ9c}c?+t#p;oB1bi5N*II{FE?^A!3&in)FtwsG7Moe_fAA0}Qg&E97-*72xg z@rWq)vygKHFZFvT-6-TlkauuJe-Q#ry? zs~Qjq0Yj_y62Mt_oW6C_Kc~gPuYGf2wZh+9xrbX1iB``}ix!bmyk{nU1+k2>Df_WN;?>|3;-1@+u)S(9)mrEd~Umb!LP$=kN>5_u@{9wxAd)-Ep z<+%BQ?H_h%E=`uh`s?mK()#|-sp01X%b9D&25Dd%kaPcfSHJIygIJr|@Hz)LN31da)yrQ90s zZ1o~5s^u0)Kus0fZ*AAOSBxR}6yHO+pKpFRLzn^sRk{FJ8kEo0NKbj)p7rw>`r-bH zl2{*EgmJFW(~+b?WQ%;*$DT&|PHHkSY>w+qnZKQyn!#_dxlRN#1=VcW4)AF6WI)2M zx2N{HW%vV#%}zdH?RLOS{vv>pXNZ>kHEGh><5;YnD)dCo;%rfn$KT3i$4aA5xRAD= zdrc%68#SsYPe%;hjStXzWrN*6pM_t52_~Z?B8+T0-zRmQA&!iiuqc=t{~Q~N>C)hI z!rq+(q>#{%l@)bP_W}YlmQ|aTSaio&P~Vg=4s95Q$ zi!lEFHiw$}X;7t9Pl+*xNKw|3*Hrgw&RM(zoerFWP5e^wW2EL zZdj^bPz)UiB5E-(JPz_D>BP#|)T~cugLJ9Fo3%%-BYg042bY{?RP?*Uk8aD7q><4~ zIY{E{f3alf@5Z9DSKyMKZwTFt7$a%k0|RLQsh$8H=YG@O?dOa}R|HXGb$C|^>nIou&kgATbE z{>kwU=jZJ9C(3w0X{lz5$m4{j-i;h8nfa%=ZDIFik@)$1=;$eJB2 zUN3b&{@ik1pD&EZ3!kRSo;O?1=Wyb$NMq`T<{#yH#h7ION*-o+8ol&$+UuJsn9#^LlGd}F3&5`G_Mn~ zh{pKdx6vzHVz8}5{<}U(b@cW_*XBOc_=#CVFyX{uWnQv&XMX;xJAhL!0RAM;6ll1c zWHaHr%n$?EsIg^KZUlG2QSO^LUJo4$DecZoYvelGwaLspj??+;6;S`Z)KUW5+?)PG zAe&MLj>DBgd|m(()W}H99Ve!I8oDK^q@fK&fxi_h?%-eSE)Lk4)EXqk_waV;fQ~OA z;^y-vDFH>pz*NMOoiw5C!v^iWJ|RZ;r<=>|w?S}UpC6$GcYf|-YsMMH_*Eb0Kc0--_+u)y_dH3QyjM+B0p()pY zj|fzRymT>TZ!=0cEq4+nY4eiL!8p+}IG9`VKi;-9&F5F+lsaIF2gF8KbF)?CY?tSi z)NciMB36`$$}7u4A0(8>7;I1MWSBQE%2PFrk&xg$D4&E~sn}bJd7Pcp$DO>ZDgt&k z@jMyJ?-+>>0h2~nnFgojht?I-JP!G-dI&-A8r=Vc>`fDGTunOUDju? zRFbUv@`rgO^(6n$#S|;n6a2)j#a{@g|B{1qKz$MAl&fBjg0LgX9fzDu5jX?WMluV; zwwh2QYOYKnmMFxSBcJ{`1FCm%rOd3{FdLm(D5B}NnS!Nr+FR!|Y|xkX&KHLLiyO{P z?yJ22`l=UVInf76Q^X3GLl`v`{bFIrY#jfeW&>6m>l zQbhCYEr5+2$^qjF%0t6@^G~Bj@l*!S;55jmI^rNsU|ayD18N1C>oCror9ohJp*%&G zshP8h(ybiZRcGG(sl)FtSZRm=w&*blr3`9yAh^98J$IFwf?Sukyk$!lx&+s6j9_z^ip&GhU z(J}RdtIVc3q6;#6-fzNnt%q{Nq&%-93+473hY9!`h(`T;Mb_ua<7h19JR?^#U3Ny{ zREEjARVGI*vE_f$;+L!C`=pUQ@xQr>lIXT(w-GOj{ay~4-R8%=ttF%PDx6iwK`MBc z2|Dqv(D6GeM|n;OkT%AIlj!t{Eyv@$kml(FImHhk@J!BIOLN{;qG{$3xV9iltC=$jRGGB`LS>w^b#8UJo!g zZ!^y#-7G~C8nsAca#PVrVuBSHZ5Lg%xDu;dKdjXIe(cqH5zOif1(?Yw#T<}Tx_$AZ ziTK?i>KrC{-+48l+frpq35e;PYX>LWe_)!{FycaB@=6+0pN_zNgNfM_ok)vn(&;;* z$>3?DyI7`cJdPn=%z*C>4)U#BehQN6X(@_{S23V#wZmzLjuaCpfXP1gRQ{EFXGbUJ ze)HufNN5$Gk~}v)T@2bS7b^1%GGK1%JhF)&F&2PQSXUimfd(sK@Ubkg95WkAkFBEt z#Gw5emtL!0)a*QOC(5gAmJJEZA4k`Bp%oZm-21CeZb=i6(QZGCP3?7POosa2UU;@x zi(xiLVQ3zLPLSJ}r(zy|fp3`i27^f=76@|8Pk`i%gJ|RxyvM0Bfhl1NrVRir@YO=) z0x_^UD(hHg>2Eszi|VhUB-2s&A~y0;3irtlkMzh*>7~;TMIfqHQ8fu0aX!YE#DgOp zXt#$Ym&Zi#fq4LfQA7{HhY6Wm?-$vdP*50{v|=MK9{X}|L?CAb9^2Mgq*8_NA%Vi! zh{Zhf=*h<3W0RMU`gn+0V!3)pyJH5=B6YmlV^S939OED0Zb&X zt|(D|#eMU&yTM>6NYF>i^!J^WgDA;hKAL=_EM)j1TvSN5iPBiWB4vVUQ@6fp*(~;; zDoT?JST?%#SR%# zrXak<=DGH@mcG^iTE>FFd>zs$CWqSz2E;TBWWt+f^6^8W944CR$#rf2O<@imqrW{& z0lQn!=e?4QL0j6=(ZAtsUoMYjN2$0`lqR|aE=MbqEX4c3e{y)T5-fYtcFf~oI7zi) z9K=K=s!O0QInyX}pAAso^)$R=Vtjrvs9BvBu1zI=e*loGvRxVOl&T8POfWj3s{}~T zMJYs10?fU@y@y?;kUXPB;V2OrIs2YH7?A9^!TF*kMyXmj@wl&Fhd<^^r&Eq@8DVl%S&h|Mq5EESjRk}#)!?ScOWv^P%QZ0lp_ zVU8S2VL=Hc+)`Z`M>ee4gRF;lU&|H;{(t-LRk&9JQu`&;(9|bZ!-cBI_L}2=i1c#B z$X=|`PXK|wFbs~X#Fm$@s=PX(0?aK064?i^<#dnw=7oW|P-yrUn`GlOl_CDGjI`)U z9}(3lJm*Y_`R67r-cLY@A6Qez9(ncxBrg(u>Hh zDQ!L&%le@}J~^9Xpba?}k46-ys6xubDpJoa}wQ3?0Y zs?qP%KINRg>%x$#p8Ew!?e7vid@y zWv;_l3&jHBKr-ro!oCcjl5sYeoz&nvW=xdqRwP_+4|%8;FL}u2KBiJQgUkG8R0M2n zx=F!#9-erj@MxpIiQ3{*ZNbo$lmC1lLs^KQ8 zU-hV&XmR{vMr26{YTMUzk4t!y=)h#Tou~-5;h2Z_Aw6 z+?{1B^7(Qo3yDo?;{Sr};XL|I`e|9~T}A!fgj1?L?5FD=e5Ony&EkO<$6;PWKr!!mgARh* zROH|WNDq_Qdctq4q$cl2q#J(Viu00GiSX5$Ye=vBHncyhW$FEI$1U5laD9<$_e~WW zTGm~}fg#n+(_G#$YEFZ2)KD2XqB|9x45<7T{bq2Go{~7U05>5KLZCeSQ=gh zVcdeQ2e6yofVK+q1Lpf_e@^s;CMb6MeG~C_$jZax61y`1vWGYle6BOkO6y;$rMBTs$#0HuVoZ9CcVDB&?mQMI09DH)W-jpt zRSX6R@H;epE&cKW5Q()6C=>lC<#qWU+iQYWw)`GQU4QJ^Iw5~CDa@l^-+Y%Jw^d8k zfyFKQmYd+hS|mbA z#*2lw=}~UlJ>Kb8pe#6KpS66`sJNP#;DJ!Cw5wnkasFIl`VzS35kzPxVU1VT0LA=e zy>VXL8k*U?@AEwS$;EW=V(Y#P`=UH5L&YX5XK8%n7b)xST)Po#T9ym|Hw5CZvH$vR zNy3xS#l0fVAjjKNh*bnf+sh@(N02>Z^iIyu*FfqSj$!H_9p03zj(NiCRSgsxYb_uG z;3?Qz)ZO(m_KPMhq1p8*b|8WkcT{Qh5j`|jn>02&B6j)7*K~zE-fdR|)TAN7URFcz z#I*lRfJi0E3iom)@!uh z0oIDN!GG1WGB??lVY7hT3!ScvY-?j*>rCayJ$7NtG@xi`f_k+A6UP(LE0fr$w2on(UO6Q24be-JOsS;55>JE?!DH~el_9w#u%flU3$=b(Ut(=F z2sEhGY$q;sGb%`P-opjwX7_|f(|Dt3eT&UA(RsiJrX;1}fAhf=Uhg#s z9^11vDKbWxZOPtWks*-94v~J?m;jb4?R|=amqgp<-WxR<8s^rc;-Nrvp-}dls1&jl zNVGwOBaSM3=9YWb@+>KVsdxqBZ8bMdWqd@!p>jv26&8KfmlNp~Ey(?R)eC9IvA^^Z-HTd=5qs zX=yHOrT!H6Zg2?{tcKaCqF0B5)!Bs+FR`8o35JM2MA&~K6k&my*}zu5M$3`|;T0;t zU-ASuM&W6cev(82j~%q{HB3?dR2Z@{36>!fa92f8fr5LF={F+YU+rTKt!Mz{kfT9< z5AADjIUntRG-pxbKQibh0>Y<%E4*tN&*0Mt*#)jz%|rGijUou8>m4B4{(-cYn2SxJ z9)X6wC^YHNt3ANrvF`8daA+s1t$C6;eTg#Gc=Ot}?Qy^oUbqn#7k(8<+OfD!Se1;D zYW)<{oyaI)^E)& z#K{cy$gYN{YgO<&nwDuV^9{Cb;ujK-nvbOB&W(R>_U9Q%bnn)zbKFTrwtGhuk z?TZiyd-Bpl6Ju3i{=uX{_Rp`1MF+ji6*K=6Yga9D`k4q$1s{-03_vZXllV5)V{~8g zGY1La`K+72RsHv=Hmi{gO`4XmOf?K-RDe2d&9`u&x4}ugX)WeC2zv&#?6RmnA@S=D~UbZYN8J z?69-%?v~vHo=Hxwz{-8Ph(AgmnN0^AeFKm%akguW;r_)U5?tp1$dRg9i6HL36u=j( z%{-2w+X(Y)sl2qso&_?Lzs;)r{t%QEIIJsh<+9#zu6e^ggL&JnMUoH#t@AnU#nFPQ zH=tdNC`|iBb<{F7C7+fOL?HtB$)cq1?X6~_Wb7E=n2&^TMwU2(R;U1kn#tv3qaaKj zOhM+yJ5^J;v>AMt!5wd)&#{6N*&BMHNma1B{dHlgb@RnUWkax^|8y);Yvy5g&=zT6 z2tyQ_J36A!u)2=|E=qHg2AZu$fPQ)(d2NA}c4Dr+Ikd`(LkV+9Hopw?1QyrLk5T53 zkhb~)>>1P5RwzHoxD*=-Kx>VgxPl9n`W~GT5CS`(15=^ft1RUiGWM}56TzI&_o;H8 zTHF}1pILy|Jen1Ci_{o^!i9Spi9!M)H=Go}m;qPh|9 zFffk&(m^4uqA7LDrib%2U13&1X4c}CJ`y$0!{D21%_I`1?37gJ;h<8YFglZ`(jyun zE5K%(tb0^}LP7D;@cVg15H3k-9RHcB%cAp^owpm5|+CPzYZcVBGqr%EBlI z81c=`8QHRud9^;zvWBO&#!Xv5{n-2el%#>; z-&0JBls)I~dXc*VRm>o)$lDPajdFwl(P?!=J4-rhN2g1?fuCIteG5pSxHT=1=+c1Q zd+@pS6b)sU4WAN&6}2OuYY3TI;Lc2ymo9gkq_qzc1d}Vlpl-2Hh?A zE_IQmt+DGlQl|RFAjBvZoO`l&6hc+@+?)2yk-0UVtaIRVI|A|>a;}~k{@TWkIA`t% z=(+xw@uLIKA=BP7VX-dP&}_j!w?lfq%}MF`NM&tla`qg_+^VE#SCWtR#P`Zu3_RJ@ zqlm`s)nL3`kGM(oq0Ep`p`Ax&envT^EGCJys~qWNZO_m}8Z4DPSI8venXHD*WKrDS z((y0W(;?nPaz};d8Jg^}rY$_ne>uXM<11FJ1KQ(wI#N4sB{e?!&2SD5Q6gT29~yf0xTq35yJUw+Z%vWBjR;P2S8IdV^}OXAn(a zqoW*D@AyBAG@7yeAjhr-|#Ik56ejD7$@f=s2Yi@jagY(D#K)~`*RZ9B~<#GqG+ zQ2Faunjo6yaCIRFoROmavqf+pJF9Yj-k z8O^k)7=&}dg#FCMb;+74jf`A4NVU{VwxeIZgzYm(S0EE4kZza2y94O6Va(&^% zIPfqjA(sST!eI$;2>N)xwc0wuGM{F6t5umOb@PKGtK1G%A?l2E%(d8%sVmk(d5uItt zO$zRI_o9LmKiIUu(ED;hM{Xge(ma}3+C z1_PBRKTajoDTWsEs|cXUE~PyHd>BEg#?2ROQ}3L149Wmaap%FsYM1!sEWbFvPUxQN z@Vww&LlPC(`8?msD4nD{P(;y|K{_jCcuR#%{Fp$E1S2~j$ z-VqHOI>1kF6EDEQux_%hYyW_bvV*{|{b;XpN$T@(#vEzENaS|HnR0-AvW9a;8eO2A z!adt135bwb_J$cR9=~z&t(^hAsOMA|*#n^fg=I@?zuT)5f;B^g|FHeQoF-lRBJsHi z%c*`0%?LzS#vQgu;`cP${wAxW4y6uMl~1v`!EPsF=zf}$ciIE9(6>FORhz717L*I_Wv}9GEnR362=~cac0bY*4l%+;gkMv4Fc0S2Z`Wc#x|6k z+iZZGG^7@oqfV}rah@~l>&p43$STR&PmM=^)!09%p;LzjqTwg^`h5HdMYDem2ri06 zBNebF!l3!q?wiBkAK&f03h~&4)OgksRoFpbp!GaHIAp;v6(A8~zMdaWev;UkTw>8I z+m>C+cTXxH__Az3t;c&NO9S!`+_Q^9meIfGiO;)RahvnS8D-2C5XbX4$bV!V$A z4})AunL#vZk>{q(7toKiMGg58M|~sV@^G=%;NO%Ykn)+LW5iI$1{<>b^i1U!mN#&B zkWQpbs}2=@QuR6@uTDra7XnIL7y$>edV2e?u6FB{n5hc^b?!5)P(!#sn}7e>fWxMHMu+yN+ zQ7L%7gug4TPz7EW7FvQB{9b4RIp;prh!X~H1L`wtl0zYv5EaiM+2-E*}OtY}q7 z@iMbrlTg`o>|pLJ@HuR6$+*$cm2o5=eTh2v3`n|LM0JON+rrHExK`PQXZWESa^haT z(6w5-@c9}dn-M1169WdC{<&3QZ)>%V^N3Bo{B(A-ww-N&>0Hz|1E$(mWZ#2d0!Zk+ z#YD<$a-+N*XqzMKWn^T6*O{n9Ndnf+C-${EO=e&IZLss-Mq_`Q%k|8s(nsevn_tTa zL^d0FWO7wC;2CweW%~>ieIPU9@%WDUAG?MN${3J|QyDja>gr?_{6Z~-{C#J=R z`<#?#)@kzF$Q-(fojK(0X>6IYU*a=dA$2|IRmyW&J*$Kg=o%BQMjpFoH2F-)7)|tC z#(cg&{V%TET}w3|SVB`EJ37q8hZhd*RiCj~9(8bDLX5_~*sst|M(uX{F-{M|BrS#| z8+x-y1*SLYLRS~;3Ap_aL-vr#bAnGzr_zcRuCAWBzgEO)s$-p~bca+1UhjzF?BeJi zK7Z}2=(-C69peV012fm=H@Tr#0-)F|#Qa8lf9g3-LYbh97330c7~GqsjnZgX95^3n zyU&iaFPa}(J_QaE&cSXxWTesYbPdnh$TJkMv?FkQMaBCXM6lmL$DNyz)Wv-h524nK zjTo(VCqUf%tEM%x4$-(^4MbU$bkC%J1@WW18Lq=Zgl_zvZ0Po`bfL>Ev&yHj0-+w}hCRQ5zI4z>pCU{|r8!gZ zjm|Zn1iYIgz=~-?#Vl2ws}Q9vxcFlRj51+pcmcq#t~*1PAH}X$lAOgPdx)q0u2y-c zKP+Gtvg?}YwLq2!o@%sU%#zB;L@^>6@+dCb9N>&)oLVq& zn#@2gk;lO5ts$9Qx6tlH-@hq~O`!a8**Zv;6)5!<^&rmtt?RE^Fr?2uF&c!5bEdRv zuAO`kYN%v{v{7u<|L!iFjN|agakoW9u;O|3AgA$cvk?M{j(Q`5p`u$G)(;W0 z*_F(knRTxDF=Zb}3c3ZOB`~sj*WTTDo4*-)@-@y8lk}#(jg9I2t_FsL+KE&Ruq#n` zb-o;s_AW15FE3xVmnfD2|7ZG-LBMkX{OI5GAS-M_@Z#=d0G{YB>EWaM;`%8WSXAfL zK_y!*kWh01>BWl)v zVuW|OA`@eMo)Nw-_OyO~t*fmU-p6Ifd@Z#%=+*3|?R%jkVhyl!Q1lquU-B$W%z>5h zjcTN-lNiWl0E)Fw@`&;?@77qAv1yWe?zZ~MJ|F{nx>a_!^{=1YhMlIb<6zB5w`~og zi_ww?VXnRigVQ6Ak#B#lQyHXQoHv<6c0MNjbVOm>6OZoQv7+b`GRFj7%>AW~NClI< zebaSr{0HUwtI&c4!lny{l_=_OUoC{gAzY?MlitPmP^ykZV+wPJ7S?~e@;YFIE9*hS z_R}XXjEsGBJ4?o~_np7^itm$d8c-&Y))>sB#Jwe~2}1+JMc*(Y7z{q?2ISTAP3z&J zzR+RE?~W7Kdj51mQF`v0JBnpT^FnOsSh+g=(ww`33~0n&bPQ|MauG2!mvOS=3K|C0 zw;wd*@#w?XbLYvYo#R-}eF$(}XrD?wjgjW35W>-+FiY(j!Q!HnikxWP2wgYCTWVuBo}4W1eh6Rs(h zQtk_<2^~OzU|s6M$OUzXFoZ$Il#3o@e_N@0IyhJgL(fLd<4uhH(E-}2;GM$QxMs0y zVwtWsk_wqMbKLfl?r(RABmB~B#X!r=6wKFYWcp#c;+k#GT~=VOvSXaxYMVq?1&VuM zoB`z0u!LX!RQ>7g6`M~E%e`1QVFEgzdzB#ilhKPs=0R^wiu^UmtJXcaRk#$a_1hsw z`uA`U?eA~ir87UzJ&;{{cg$k<}B)g4t+brX(^0jy)! zz%r=KJK#c>B}QSP`?>3X=g6>S^Z;UL<3N2%;{NusXZXWlMGv+Tn`f2nKUa31jH8fU zapd!(ClRia2S<*(kWc)+7Ne55Go#FsAD4>&)o-CvO(*jEA=tI z>Z=0f5kIvPwq=1HP+3FDZRK?;?KWg-uwca&??|+@U(isx91EzCI#H{(%VQ~w`JYDW zV>)Q|lz^?jR8IlkNkTuigJIC;`{VE;a3>QA*4gF)z8yWT0uOnfXe zV-7~kkNo;Fr2ZJ&rR=W4N&BcXr2gw|0E2?p${fCYiVB*6W|TyrOZ@Ya_IQW0!N z;BEQjCD;-(jK4D1riF!!w?2J(elIfSPw*a!K?N>1Ve#B|ges&4B8C67?6& z9AN?+;0CBBE9|%dY0^ole=?oyfb0cRL9iegn!Qp2eI@6?dmfcY->SSQNSt!q%xZ=y z=`P(3BnsL-mk=zjxghG6V8`s~1R~b5?Vs6ZXrx-^ub?%m^fYv-xyf!8JohTP+sv&I z{g>{E29wq=DyKipLDL*?EorDO7v`WDsY9`^O6#P}+5U`tu<+-#x0Y+gkoKBAbEzW8 zP8NnmmNQy{deK3%i0m#q$S4--p=rD73l9(=x!GJI%WUB#93)d#o;IszuLp zV6Wj{+)Je+1_p4OIMUpcK-Ten#sDwXE6BFWEBkXIz4txKe%9Yn5-V#06L1zOsy_8m zzD305nBJHBM^9?X=c6LPijPB$(iMD*NAA_4^Z;Z41$aadEV~cr+HWXGWehH0n#Wu< zmPFHk-~FE&6u#P4nY`1*L9F*ueBa_U9UmDme1xOS8ovNFBFKq4^Cm7-cNAKo2Q10t z;tmRN$?zIko@@%gMbsShz;epf)p&+s_GJb9I-%$NraA!_3M7NoF0_I*K!&J zu8Zb%I?>Tx`Fq`ea~-3EK22zA@6YZx+Bf*h=e5bp!TSxrN#ltyDrpBV80MIT@ietp znzN)~BvrJZ;J*4Ha-b$(MAIXX$5!zjUaMgu6(H^^DQqKFNHF)EPma?27IyxNu$-xk z`h1+adL0ROV_A&a=BM9tQR4eT+UsE{fQcDrG-&-)uDsds7d-gFEPJCT&Q)|RjX)Ha zEKd>A!DZ!_!`YZx@g(dzRzkXm@7+lZLP4)(MM{n9yHP$c^37n|=Cv6JOq}W>S59-w z3l0hHR)enW6@6!-xv9 z6WeGyDzD3!xiB%iwyl&rME(~9J2bGhTaxDNQT_g71ba3u&WN2rz==Vc>?=60;gn)O8!X>|K>gnQE@74L&> z{mU7dh>o6w%tDOKWg(WDVHl5kA`%)Gin0Ty7!9PeSWY3x2f4~vC(*^g1|24KQ#wR@ z#UlU5z^Ou~)0@7h*0HenWT`YO@VCK=%4t&h^gKZ43k7=Q@b2R1&#U`u{OQ{CzO_%4V9uwe9k z6gS1XN=9P4b=!fAbtl7F;-RCGZsRmianw32Rdnt~XsdBsif99`Fq3O6X8boz*-BJ) z9tUCH)x=o8edqZy1P+o6I7#D0&6KB}y+`nij5ien=}B?_H)=<`$=j4{bvIYU6+~w) zP%u3#bM*Zm>B>tY*3eMK`VG2?l}_JqZy`s=*}hlVk7Y+O`L2*Yh~~~P_5hM~Hu&^L z)grXoj6*gwD?&D{fr{s{1Ge$Su!~s9M(EA5mN&bD(+4-R79SVEkrA8_aIGKG;(F3g z*6TastbZx2ZjMnif8n=v?&YST23S?ab}XHy$o^(@O9;-*pR}f$Wv8FIxa&qQYxcNe zuweD(ZbORJ+?R3lW~WI(`5zom{=mB_+4ToqgTau?DZ~BBxm|z{5*ySu3c`0zOROwVu;*{|RYQg$SshOiHf~DJ50llW?*|sK zMu}@1_HtI32vCvWb{nmxfurN=`Y12#PuV+@5_97tFdOlTc{(dV3bKf}e8JYhl`O@R znzLxC$fdZ>wFz6eD7Qm7mOK8T`BFF!Es+Ln`%bF0_m!gs|0%4w-S}`3ho&t%p!L-! z0;t_jM*(^@U0kk)tNB?zq=o3)a&a@)dIu!i)GDeZu4tO=tu6R|r}b!-m3U|$mG!Qg zrQOJpDbD6teV5(Y)C@G ziE>_KMYX0MgPXyGaWjGXAjTPQIA1AFx z#STdC-~vw78LwTTa_LwuTFm}Ov^U%gHLA|v{CpP@Dx|0W(K%Yk=kq~smQ;8C+Kc+d z+jXbFHI0<4G{4eEt_bhvu>$k6<+5#Cv%Y2H;`_b~kg+?F(ZDQP2x6)u`gXv%XTUo2p9tQMH*3ta zSUR6q*56OEx1ycJQ)1}0>1~|*eVLY4S}?LhB&MsgMM2+XC`4pdl`Hvt#K|X6f~KP_ zLl4Mpgo>#hW?%mph=7g%$VzXn*~!Nd>txo#KGLwsu#CU^whfN0pHNKUf(Bx5WD;R8 zBGmNJM`^cWQVjF`hGt>BlgD<$p0=5kp9O>4#~nO~b4+??=*`^lvHJSzF1beJjjroE zJr$|sy2wrGqYSDT(H%c7xbrR`L1^w8%5jyA*g`!ZV7f9xT!x!O2X;T}E_J}W0a7E_ zu1Tdx88h6g*;pEWI2dZz@t)aeGlu*Qs>;qKKUbTv64DTzS~%RFP|!krV#PvzBocD{ zSDk;dJVz&R)1$CLBBHa5SZV18kkyE9>W{}WD-|Y6l@6R8v$c(ksk@J{k=#iT$lg5j zLI0IAEGQkK1M>l5P`&67$dNh3dA{CK3J~9W>isosvdo6L*6^(ya%u6$76^U^n_+NH@_obs_A?}YNrBwh$)DX55e(^o-a8b zbw(k`-ftF0SAkxVlYAUOfe`xv&ylJ94MSD=(0dHwQ4=q8uE4goOBTwn`kY)8P4Bg- z&Y@T2Kj;*JG$J8ljQIJWd1XIREycZ*Eo|QY=K<);EnQime?{Unw7Na5OKo@ADxW?8 zSXBNK+OaR`Qc>m6+yiURq3N8kEk+ z7ds$j-wwPvTG&B}k)Pga1-dNaZ>veVUdseo;s_5f5x40>#{b$V=R&rdD9Y#S{(;mH zid)6f!(gkRLFgs$k0CnW&q4-*{yVm~YIN}sQsN#f9vV7xDZbA1w67gPt1}e=ZsP!GBAoz73DrGX%6dSy_MqLA8IL;Lq!j`OdDMX3&pYWv)dBa{^ z9h3=2?1F`U)#sznLjt^T)AnGx31i3F3kQAHc%IM_`hM5}xgv*#nK7Ob1eetL2Z+ym zR(Z_EMQP5-WItR^Epz-)sfolpCoe1Y-OL@Lqe8zBw^Pkex?HqLsU3os?P%0NKzU&0 zwzZ)6uSLg7zkX|8W70psEp&SmvgPH#tL~eS@sDdtjBHJmF0cvOf=RW?kcplKojLQC zji8-RztqWuMf~1PEw%~QM#4VY35GBlwj#?PTlni{(?=l0x=W#fh-bjnk9;}BCkiXq z&gaDJBrM~QaoI`%J5w*0)kg7xHXk=_tqjhH?k|)HnbB^52F)DOA!xU4C;Hh5&r>7U znj(c7GwMEFLTbwcuI43zDrC(tYsUB@_5x7BEadDkRP$0G#xjIR>!6EV~X6jZUbUO9pT^xYv0`IN!` zYgdGDa*JN|0eq-1(aY#)_#7B=9=zP}1U}$^DY4i|jXCNpI$~{%qu^!ky`efMt57Z$Ilk1n@$fZE-9)Q!~0IU-&|kFb5C9Bav6`{ zv-*7x%WJf^V`#`@I}~9_edroX04LHmOpoR4{vZfe340zvMj3S#HH^2ZcM~$77HDKl zHFE)$JX|TnI~2@o)S2s9G_PoSrJj$4%{$<6pFy~A2Xu`-R34$h&wjy?WgD1708#=D zQOa(nBpeFZgX?Vcqiz)FglZ${SUf}2UOnTz!_hM4h85Y43l)^z$K)B38u@BD_8uy4 z;7P~y0J5NKn!ec0^H0`yYCfeX`z$5#)KIFFa6FuSrf*1=a)yrXf&DOreR<4AK-78a z_)&2Um7o>Ghc_O9?yjhgoAoO-F+h*VXkw~A;Du0l+q13>SFh=N5dspP8J6X}v2aQ& z!B51ztdbT*enT&?Bvxk7OmPff!2EVbMe85uJgwxPA71R~SW+ zH+f_;F}3erE0r4S@bLyyN%u97uTN~^aWE7WST1z74fN;v2{)b~KlV9YTOXmiHW?PU zC&^Y)^RP@nWU?bygOysN+$q>OOEdz8ot-d@mwzkL0>rZGU%)a^GFobG7e8IbOu2|^=*SCo)o!)r{Hu(e$FOy@@Lfk%NycxNmzX3JCT%T;L zaGBs`qc9vpkrtvFu9IY_x`JsNkC3^+3&y;Lre@aDL6X5IcAdzhG=@=j;Z(+FI;cRg z)^XsAp!^ZscxQ8owc2$-)c@iii-?y!!mW~-;Go<~sQWq^OGLZ8{bRCgP%6m9(OT_+ zgwlB!Xp=l9gt+NfMpxJNh~PsN(Z(uC6`n$x4cWnaqR3Kwo4W)8{)!v6ugYb;f`;fzk^7 zP%V0H&eTu~YFbL&bg8a^rH3t?`&y>2q+;+0e2kML60mp1oU8?J~t~|OftI_>zdE^+79vZ`LIoPS4#$93 z?ydJw8K2tt){?a-u$2S(H?%L2UH*hz}KyE!q@9rlRunz|a;4Q!G+GdhM8RG?DT z3jekPivYeyJm5fJ_UALis}ew%=rli0K;HkeZt07z{LF_}wqAoL1Ef-t{DTrfs?KNO zWQ0DpvJ@@ub)T#5)Rf1f?ti6Vv7@%0Y$JJGr@2*U^w0%CBP}EUPI{8anrmB$_st1b zgByiueS^p|26=WH{eDI{#NGc%YZBG!=Lmb7t~Mfs8D$KEiuMsGwp#R=c#l$93?2z^ zpLGE!{_V(aew$XO;%{EnQiySyDA+O9Z!-|lKf=xh&&KAKcmjt0R?;RI4mLKh?EZ;v zOi>Zi*S6P{R`XdpWzZ`4l0QJ)AOKH5u)mV{OjMqqj{&bO2Pqy1vWS+{q~KZkl)BIhGEc`*GGL|go$7m`p!@rZLD&i6*TI0j z#Mhp_t-l}I8eEe}6gk=6p<;rDemnAAN5uG;>nM zO&-I%yDii4Z1Z5=wPvOGudKEL_D0p$xq4WGS+GufVtYl@6z@0&L^092 zA6Sc1`>6-K-K@ea?ZRvb<6v{93>!R1CeZwh>D*i!)sJaTnby35UjAVnm&v3ueJcR5 z?I@@wc}%H28PmBz=D(GiT^|!~-wW@i9@a&8-{L)W=z1~i4@`S+42y4(E5QWKMn5lg zJHCQOsan)<8x^Sm_C~xShU%J|QX9BwSa%24jdt_>FErr2ju~WtGIJ~<+*T|#nNyLP z0r0>TO?Sb*!S~)Q#j6pWA*XjQyuRftj?0iMqu$}R1 zpUV#1I&spI6)WF|kzn#+RDn+T{Mno-Q$6-S<2s}s|1+!fmuc016Mqo_vw(Azj0x4Z-HO`qt{R*Hd6S2KJ-MWe{h zu3cco4S6=_PhCcvkS!4moB;=KCzv;{PkSOKL4Fjk$v&xRFgVwr7#2Bm>r<*9*WHx)JzngtcWb{@{HZs0)Da%)PH*d z-XWEWOT2G(^b34fJd&Yn`)l}|9n^qwx7}EE4kIsKN9-whQreni26o|catMJ?IZT|& ziKCFOD0y{`;Y^nPb}wS?MwE&K58zOjMUsz#|5_0upU;{nFD1Bv`$^n#EIzx;w}j_V zVcGP$l|Z;C6TuaNC2&s=_N-E?bgPi%Dk{Q%nx!u0bzwFrhD5S)^!qd#1QgIpS`k19 z57o;*xuD|oqT{7ZNwK27xpn=9Np3KRHANe3`=|`5@C}*Ot-^~@&9{QL`X37*GJi!`xF4p9g2W6_m)F?bQ>IdRr9dl6>RRoEkdg?qCGV9g z6`J7vo)1NMGAvqnLVn{lFgaFCKMKKoJqc}V*8R#6l424E4wKx6YvEJFj`iTbZzCyx zMY*uBm$9E1CbOus+giSl=G`ukRHg|iHL>f&J#TXsoE)+NSNdmi%2q==9y5Q?9;Qpy ze@3h3>YLNuH(N5x_b{oNF2B@O`f??KzU%;;r*|{LF+v2G z55GF^?Qw|uDq!W#s<#lZH;CRRoPL}#kz@&M$GlVA$JAR&mzq=uH3Qh`gWVTi)2u7f zbKf4%-RUCU3WUkAE(ca4`lrSSp96Q!3(kzfcy{o3gdGZnWt{*+{L=D+-KG;QivZuP zt#QC+8s5PE7|#iS}oYhC<}2RWQQQL#!?DU<_E@Pk^aSe!sy^bxWTGu7uWj z5}SRej)Bzpk$TM=^e{+=!bmM1OcY$ekUA2wF9JtTArGhM{#N$gt$w!31AWR+^(j}O z)u8faEJ$gq?uA6^epPH^(+!KQPF@Dv8~mcFRqr6;nxaFhL4$dc3OvNXCPi!&nz!y@ z=1=&fHS@RVyD71Gku92XC)U90z(gMFbuo^*DbBUaam$PLkI#A2b619Ke>8TW|R=s{YO{uN)4bcRz$Hfo% zLLZVV@(&_ZhGk4r}k2M%ay=gSXk_hK-ys}xLyX#Sr zYCc9wkfg}Fu9t`FlbRZwThw%@b@-0oOYk)AM<=#drapxbvfH@++5weyC*YBin_;ge zNkoIpNyHk=5=(v3HxEo3k7d}L9Id<&8faZA=B1IU-qnF|lz4v=ZH?~+Lw(#&?UlJ6 zQW7%wD$Pp+USP-@1{Xm(k5MA^n+Y!AWBvr+J1g6Xpr+=GpbUIct@5oo+{x^}3vj^F z>pr$xmBz0ZkC_KL!z~}0D-D#>moukam+zEiM1FqqxNfryAZsF?c+|@SMQ|Q{6%i1B z1k}DzVvEWhOQ`>w2Va0WNNAzJU4@nfwbAVFz>i))v~!2~Sj2nukX?xk$>JaxQk)sZ zq=%OBcREE;v-<)DCFvY_=LXKtzkV{*Vm|}^q@F_#uLR!KE2pf1Bm^F`f>ja2Nx@WA z6NVoB>{?A1?#4BKeA7F!L7LNY13sOPOP|>o9T+j&C$lckJ~cmHwS2^*zC}Z~AkE@; z3^15#v?(}$-wvb^EqNW*S5AYt@ZxMRF< zEX#j*!pD0i@I>R8vJ8_blyS#S0vE|rEkiGjP6+2Y0$7#+rsz!Jk*OCgzx+9Dh{x*C z#-UY8;ytooDxy*7>>f%`yRWU`XQMH);fQ9R=sOIMUTQ?KWQw29VsdRGeA;OMTTi@E zPLROinJdOXc6Dje2&pBYZ2FrXPw~2g@*cELgfe=?#g-Sndvm-JHkw$6ZNIinmo4!> z0h1fONI7zFUfInoA@{Tn7tIU7huctb&eA5Uopi25k%ZEjWh6jz?uCvvQivILkvlBOB2RjsULp`j~w&;<-1RZ<0k^gwS$P^Zr;(@R9Vxfawz-t^$OF`l?2i%^y z+IdcMUR2$t{ph`OecvWIrCo0lI?XqyV*xMfq+gP^EUo)NppmzR0{P#z8}H~ywa@*> zrE^~DWAND=y0NSKYuzPYSN&&vPjr#Xqzoi!BuUddaTyfnImg*80dbeEsV zU5?_nDju=7^gJZ%DjUy9km8jl^C1a#7kRbHl{C5^L2Oyo;S0(yn^->jAy2spo4$nm zl=wX%wS^QI;CPK-?&2TxJ)7BxSsG#@R;+VF9q6lgb@HBdOgQ-R`M?i1F@Sdmi&KC4 zmJaFws#)&I@VIoRi6%x}hT93!@&$ZUj%;(huI$Rmv|PV&(O?L-{ZU+yPX^2gN}e^R zHo~Kmdd1ILjXvW9Hu zGprGgNdXlV*+i;f);%KsRlZ|YEqU(5y?)t>Fl+<*a(}N!#^Hd$H1HSdjkOXox&9aVqgo4WvI?d)zPrbD8P8aG z)PCx!ZZYiO-Pz7~9E(h;i1EbD3y?QUZRc!JM1y&2K){-NI_E!hd3@03iM3oZkv*DB z1ETU2C72eY5`S5eZjIK0N|-qnAM_F)b*t{wiii&*Y*Dh$D|zZsX5I5TL~ys@H+~`@ z##SASWxkAH#-XWNE^kqwrAqNP@9SB6w0<@ipGsZPgM_sYbbKBm{7}SRq)+vEAHUV+*SAl8we~N zH+m!Pp`d9MFy625WiBjp>m@V8YxcJXMt?KI65;)+fkjwRmH~R~c7S)t>;p0P0w!D#&iHE ztDb)$Aj(jsjf4ex3p6yH_p*OtOR>P^FzW2Y=)*y=*+m>idW!&(3v;rZ(nFXU8qj zGc3b#D!;$zf13~(@77I(?7=5uqWoBuX!*i_(0x$p)TVbGsP%Q%!GPL{A1dBU8DBJ( zO%kcN6rgkiWKi`8iqF1PKR#ahgm&RxDAP=n#_Y(yAe!Ws-BJ`RvE>AHqp}9OLqRn! zGdjwz_N$d}`q32nx;BTl9*pF%#JZe>BT)B7Z4orMd zJWK=PlESeNAT*j9gPn(4N7Tmh4FqP*I8(y^6-0{!J82K#%s`uK=fc=}=9yy7dIv{d z3?F5cwssVcrDgvkr)GnUv*>=Ehhln}D{l-CPJ5GJ5hN^~j++pn?%BI7ZxIB}zZ>yO7K zLnlfpNs#KxA+y5vz`H+wUwM_CmpoIe&!vh`H4GMk_Ns8s6Q~C|+Tz)j1|Zt#8fP!) z%-i|>Y9^^v0q(r7PrvG!0}8J{%htc^3lg~dqt9_EHj|6=YXVLbPJWzNn$0%J;$QQx z#V`SOy__A8OMe->KDa6_m}qa_K?BW885;nPJ569Yq3K@&;In+NCdK!ep&O+dg89g= zA(hXIGVLb)Kt7ay#IJE4XymP0&dvf3RwNmKSlc^#0ao9)du$9ut4M(D#NgI2DDc@3 zGu)?`FcbfwJ1v_^@(jzU<`wbj0Gg<*11z-?PhNDWNCr;$={OKP-i~(g2X^NbutLpQ)mQHvM2ur@rzx4<84yjV*WrU%0mDqcqh>%E~jB! zc9P9rJ(Xn^UTHpI=jA$-@|MrkKrzz+tAm3)_=Q=luPGx#o)a`htNkfw{t!6d7;Nbm zpyhk&xu|!=wzMaBy=vUzDqyv&g8zYr!;uL_P3LaSQ73PG_sVX^gZ&)yt~;mEiygtO zn3GZjtgj#0EAb_a$Qz<0gT~$7y01QD>gkf?a_}HG&KxYL^8&U-f5$`w8vI(N0`_Ci z^8Gfu-sS5Q#cwZOqJGr*qO=%$Ce1Rhyi@ILVrV94so@*PaDeA6=w09V)I8);WK|Cb zL}g20xe_fL*sh)smp-wwVNiWlylJiJMfL~D1qJ$d{icMd-r^lq?E#i6pv+Ua!Jr&n z00~SxQb62kPI`4u%DYuU5_(1W59bq`7(|m{bMgHTzGSMrzCN`Sz9O|~FOS5m(%n$; z`0D|_Phn$f^e1o67+wei;=SweNAr9w%Z%aMoZ*(%vthd;vTjvtV2@+^ZF_Y+yd{-Y zc2b?DnmU?-D{+w$qVESRAgGePD`z&%nd~}orXd3hM?J?*Y9LF~#(MFtDid2P!SwZy(#mqSZ{{{P!l^U6QM`O>apQcf4&Rn zj~CB>GO!JBrkHBL&@$-P2WYQx2@7BRxX1Q<7*+V)w;^e-ea* z>6>nj_*!9;lPdrYW4%6{^eEsQ3+9EN85Wp2lO-r=oNALyj?NP<(@1(tYP)#9o;&8E zpfd!Y<$Rm0k!|qZtR@?I3Rr>p(E+ZodQ%C*E(jG=feAUstOn=_uOW-ZMQ%H4z?3cT z@DBD3tC>e~e3plfvwDC2y{|pfmrueMWwxrq?d%@p^prSLvE0 zYnP8+(|aLTkc!&{Y+Q|wGqC17$(jg8ytzzwNsz%8c4o?!D#IPp>>$Z(%zS9fXr_9* zAo`lsO(th0nuv8wG@TM~3P63Bu;O(20wxyNj1?tN`AG!F^<6gO;`R2AHhEyOn{I~^ zkiKVBZqriaH8WC%Ce^K74+md?4_5)KWbPFrRbCZ{0}n293lli?w9A*z7p z;4M0HuRX|Uyhy%aJmlm;@0$HT%a4 z6vECg-Mt9Y7_aOfIiYa6wa;gaAI1k}+mzn*qK?z-djE!pLQpBPrG;Xv4BIa&JJJ&D zKF1>$-BqjhVx_4DUTFZn5}0WFmxr5}|6`>6#ttnF^TV_fvvVD56A*-rFVhN;MRNyM zV-&Jo({G6*;_zEhnX#3Q(G7V*Jc%!P;Kep4Kbi17-214TUnx;<<`Sau@$hVtzn_$m zbYvn8Ex-O-V}LO}TV5HYT6UkbijK@btLAb*?>ujjK~Yqa0XMqv0jL&X(&uG zzI$Exqz_afZdHxF^HukTq(68yqwOzx;#RGg=W>M45Ort_>7p6eJNc6ufDwrHXdyZw z1exteRZ^8!@|67|eEtxcfLJvxpvMzFL+Ma1M&!^@kZO58KulF^-zts%=9u2PC{JxJ zgHLV!q9rS^qqUS^w;<1%wB|0%w{|vIhiY`l^S^ zi&$>7QxZkr0Lov)4(ip>wE9>H#{N5W+$gfZIO&L($C?T1A<^cIXz@Jz@PIChf0sK} z9zhbu?B1)L7F(Gzvf%IsM8^=?(k`K3%W6>jUE~8|#jM69lFSJU4(14b|C&D+SQ0Q& zy~9S9-(#?C|0y=|&gC|bm$?BtS^rKFjf9urD&lYA4&Zp4fFWTqPHZ#AVH=d?&1)We zD5GtP8)S$3E9r(Y(i``m1qUjIVGEJCZ5){vqxd#Gb(DYX>Uak&kgjVHM}%IcAg#-S zVi#Nhh(|O7LEXrZ!iBX%F8B?gRZ-c&ps3$ssgD$Qx+ia%4q0eEpe0yV&c%Zi7RTkA zE(^oJX(64gZF)Xnf)uyyd8O5add2kRFUOuqc9OjAhYQB*eQ-qYQ{Oz_O3U=|7EhVO z43fG-7P!@W(Yq4Ba-0%*xcNv6_Ag_F!o8@J>qPpw7J)MNi#Fr|=v~H`773gB`CLXU zI}E-tl~j=+M4lY@5LUr_e*S)IFLKv*Di?2e_BSn#1%pdrPz!UPtoU{|N;rm2eCJn& zQJ1Gclhks)@gv<$tgal0!8hyp2&k=<4q-j&`yLw^yI!4qcll5Tui3|`;BEZtb{Nh% zl-@EXAT_2h&LhQWYI)cFdRKv^7kjXquB#j*mfFdM;>(r;6NcM)M5XZ3cjAPzE!vqI zQ?!gB{0bp49_>JTdSz+u>h+fP6%zDbKEH{{;8}z~p9E|k{h7c5cvef^-eUK1{2Sep zx9zmScpq^wj(Qr6Z+B2H?oCk*l4UCG*$QbFH4mhkFt>{gKXlBJn^JYj#8thy8tQx& z{TO_6Qt_l4s<~ucEG0in^cYMv5T-tbvlgf*u{a7k`h+=)+%Zy8rJ;Qf&|b3|JCVYWVjO%{U4aanj!CYgxN>A+izs5+EZ@&YJhJlo zogE7>z7BFwn6x&bCH5o>7FAwsND1LZ01N#AAx%*h)Na@7QSPz&w?Syh^S=MpU*r2} z!0PzCf9FDFuUKAjmwiBj1Ls3AFO#Q4vnY9(L{L-oxO+-0iy5b4)zNX0x+(j&NMxpRbe*^5zq z9~MD6yU3=WlIG$O3>3sbuVUnPHO7??LWQ;!G=(NNV5Hksd`|iW-E04j{%~aHk*#>d z6H>v^XjZ{xdvufDgL}iD$!UTfLinVZh;%~q=kNZE#J0SC3oz+Dc-bZ-YSpc+6!fT* z*mQ(Vzr#yX(FKrRL6-nWTVIot;BQG_-dMf%D$8PTkT%Ly@A3@2xl;w^t7`B|1M_sR?uXH#zB|NcOd3Oljj zvl-aB998H~aB+R0VEd8w#@R+7oP*dpcM2vMX95M6k7d>Cbu~n=d96e6r8k_NJnd9r z^h^1`SDa#F7YcdY?(A;&H4 zjr>*cr7jF41!%M6qiyB%uY1U9*XWYe&$Q6;W%%e0|4Z)$(Uja_+uTnTBo0DdF)ya_ zg9N%eSi`qQv;iCJ80_KLGVLq;x(o6Sif+@Cej1hXa1jpU!tBih5>rb#^F+g+U`5L5qu_pc} z7KSrL{*TQjaGJoNQnw17JM{$wZKY6`Ox92>jpI*SzPaCtLwclhG!>u85fX&5RuhYA zWzfS=#$^Pz{2ToB^>G(Y*fZ!EK)HY&ugXX{wTfwsx16ls&;_v# zJ71zF?_Y&{!TVB6;**0~lw-|^7;`B z^-vFkJ^!w)KCtyJ7g79GzaDK}2hQa4rCy2#tJA}7`Uiw%XD1WyAb8wSCZfNBzG%g; zGRSInRv%(yJ!p^-VF!?y$2!=4Tynj$_f6WTzoE184pFq@S&rm z==KgCE&@3;q&mw0yUU-#@eFAyGm>z*f3-8jV5M==5!|?f#3eSe6c@BzvQgJ)_Isi~ zM^26Pxf?9N%o2A14(q%w>>DtTMV3P~B_$rH*s(&#Nx4Dff_^`-5dQb@hA9#9_XY(iU5>1c|nh2plM$dyrV@@8&< z1BfPRppL|DENZ3*xEuKs5lqhh1R{DnChJ%}$4@}U5r}BU{xN21&hv`G8F=c1@N;w;os1&M6(kOg*nlbdTBCh`v{$K#yCrN$SJk3RDGIr<*6KU1ihW z>D+^04A+AWVOp2<$Sdara&Y&SErPW-fuUuvYA@O|_+nxNR6ecmkZEhPhN$7wS8H*f z^1XLZOPR`^K2vX6{7Ih&C$psB^qvWOVS*_WA)*l(>Fgy`G{ z(4Su=zH+(Lul`PLpMdS)!EeuQ^WJF$|6_QcWYk7nK>Vyv674~bxCL6XnD8D5`OiI@ zkjNKoLPQhm$HY5eU$)^sc~SPd+CDK5{1_33*JfKHi@@BB6Ug!UN2L)SgK|2A##VYd z8Olis!uz_x1xyault(+08=oaZKQh~r%|hTQ+MPBXctg28`rxW}^d#bwtl%uY;d)M& z^!@ay5>{a>N*8Ut%BVDfM+%KT?355Qn#~$JLev8JtP%6{m($qn$=xJ4e#pv}p1Cd= zGj3&NF{rW8$TnU&qV_JsQ|~}xVp}z17nv&4qrLpbphM<5p@+U+@2Ei{RD}OuZ{FZk zegg=nxTBKZruEst6;`WY?|qLzSoIe!g@gq>sb5oCD1-_O*16sjAz9)N-Xj;7mt3}vi{!Y|jF+GtON8MjAee{$USzI9`MXO4 zt$f%4aA+Y7Y{EYcK67&f@mWfYMuJuS^G#`~i%+x<5~SfzlwYf*Z4G^lW}Tw4{@bU4 zi}B3_*!^>Y1(;)r%RPtnwStwv~~pbla) z;Q57Wxwc1XhwNi4I`7t-kFAj+@H)^ovtkrs1P1T`@Ksm==cAS~kIE16q z7*h&Rr$PW-azsR@1`gcX97x`2Ov~*+QK4WFcKgnek%a*Puhc6Ul~Dcj%FR#kINIC zRWf{}->09|S1^-_qk{E~TK4eV(Lhm2X`U?s z_oTdi7)$b)LE{%|-93)9V0#J$4E6d$60xT?LHxFK7OteoCMB9{eF2#UV`-fdPB#!Yf&TEF4Z`i?gm;uV4*rqDHObS+pCPaIQkHkfOo>hv=xoy4GhNYyNHV6+ zWGaG5@wow6?*)efURZ4lueP>$L;jYs&nk98iy1HK1=69En{~c)S$`{GKV}#oQUacL z1b+IiEDGc%f9kW{t$gp)_HT2>$5rmtiay>m`7tmA`_YOMCfOG1a9=*+S7uhL&=`0{ zR}Wb_x!=d;5WlUA+o-X7B2f9y%Rm8QQ=?mPY)uKQNZ(Ad4Lr)UNFc|PXU3U=P5wW| z%_7u;yfO|hOhVGHZVSJx1kgMqlKbR%(WZ6>U1PQ_>RV7g+UD}9n51v{^=IF&Ps|0{ zZ2E+1>gortFerGh2XCWzp0hH{-WXiD)g(h~t9Sza6)$?&fQr&4|C zc_A~WD)Thwk2Hya{4tAUT^xtyJ`LsR?=q%BD-*8Lf;emY{ok=(X(p>DoVgGV*xe22 zD|yIrdfo!4sknkTb+)eY{MeP%MTul@pSmH^5sJUA=`cJs9o{$vst(ML7fhReTUK}j z(V#NNM3YTlHPl)WvxD%)QU;bw44iJrA??S{`XfeBZ?l<3Ds?1fU9*MzFk8$BzQ0DC zfuJ+EGXPpT9R|HR%t6AxicO&>NmoGKETV+wkzI5!hj6qgcD+Qg7%n2Mp*T}lEaAz0 zCVKyM?(tm}rWOd1OU)s%0%c?9T(2!Q#lWWD(rA<=(^Am<#R7 z6y+rJ^^js`j};0{48Fek;j1bPS=PA$_{Y`e+Xl0J&9CKS`Kn-Q(Fw}~#hmrj^C-kr z;NlfwHTH?RYFv!N8u<>Ebd?GMI^5<34bjj__eIe3^?Jg`n9MHG=TkXTXrp~G5i2G4 z6UKCOxe#!5fU~h}SAaV@|Eu3+udV-OkJ8rNAN}`v@8GU0X^dz zd{?;hKOW;rj7N8E#NZ~|<50vw=x^QW#pKX43LwYY0?Kpx;dNoynYu{bz#@wbXlv)< z15iYmw9Yv>AgOrG%v&n)(O}-xzyn~axvb(kWKXsW$qHt4yd@Lksi37=Sa_b*0@Y2I z?%(owRgjA)3^{?4Zd@iNn{Q#um>e*Q`t&krO7%7zA-4K$ZYoLv5N0kdMx^rJ3WUtlHAa#VBxNY7ImZ*Ba z81*ke^c-L*fdY_}IJhs<6erv1{G$8nV$7)hktygldwwV&1-?fhL3u%Yw)E}{1Pwka zdIhLQ*}U+oKI1{wUtl2w`Ri z_8Y1_J+5cBMPpC<3(ui1D3UpB1^vEYpun~r7w_4-|8@9Ac8j(AF}3{khW>P1y|FKz zzb^qftC3P5^9MtEr=T;{nB40p5@IHhk_S|R8{iD%Dpt9UyVs3-X->zz^k%L+J3IMi z5F|s`;`(wx!gWI1Dz1o^1L`+%4?mMIWfmr*I|=Q#dB*kxV6?r_`#IVG$_SJh)W{Dn zV(w6C-E)6#$RvM*`6;;%V#$y{)XmR|c>SDypZb*za2|nZg|TOXI~I+1mCk<#cI7s@ zAKr7Q0)u7Bo>6*M!O)+~BBPa(Y9NmTnY)Go5)_H5kFTpHv*K8kysKt#+cG>~o`ZW? zr7s~$b6_53nVDy=EHfBOY_^@-bHQPAFTW1U2+DmJo%KZ{LKp@=KHC>5m(W*yX(oJO zzOu=5jfunxnZR^RTq~Y8iev92O2ttbhe>vARH~aDRAM>5?MgXZbEtkr znE5Sj_mg^-kFGYnW4{|q-a3EC!&P>A;Pn5J8Z!q8(R3}RGuU0sSIXz!$Fa1(v)LN) z#x}k*m477Yrmx+i2!xUdiYQ<(UHikT86qKm{{y|=&@#^T)4Q(Zqqn$b&g8n4lVO6M z;Vl%IRH5$RD=<1{^XvW%z8?4;Q);xMswn_n|Njp1S!f?K;%_jN5SpNW;4sQB-&By3 z@-~r`GzC`dENp}79a-GHz84V*fi9U=Kh%|z8YDy2qM^5+WXjcgjS`j z)#Kn^tIKG02a&>7B&o1`C1tN2TvBkGwG65!_>z(@Fjm5wZ1kt9;PS53}Ivwnq|hLw{Pc46` zD;f#u$uEGM52B=bG2sKb*WTv?hl+#X(`iy3g_w#Uh{NM3V_Di@M~}#^sDbL#o`_FC zk8vddI_rcaeDoy++hQ8L1*es?2iktD_5HU};#I63REYO-l7D20r7WWjX&iXEQ`%7M zDARpGlxTk|#v=zKsGY9>j0v>7cOSWP_c_@KbEVzt zvm^CZ{S(cMSUlha`_zEdk7aJqOF6P`F21Yg=;0@DB!zG@sZML z?O$BqM4gnpgv9P7!-fT(uFufy-mRMWD^t#A-Ytdou(7v{_(-8*DI}L?N3mIYOt)H} z@jxe~N$)XgCXmDNq*&)3h3K}E7LikLE4KYT30U&Z>>YAze)f7C9dqY3_eF~Q^spHm z4%*N#7l3kOl-~RM6PGr~<|@)iE9=5C7boy0!ip%Hq|tbH%$hV5@X+)5wGcZ4-~%1c ze5|_{P9RE}=v9b4wOA5#IyIoJjLmAINP0hMx`5k~RoD;i#WJr+{J(4&&KB!`A~;6e zIV->L9;S&#ORBmQdx&RLg-19Y@%TZS?HV9!y~FJW*j%pggdckiPH;MY4SSZmf>C=z zPA_hty_8+$sdo7_(mc&I<^*oR-il$QIud6*-slKXyC^AlmAgsr*|h6Dwg0fZC~-R{ zm@9&~vE+#x4kXd{dlcXj@r>EC&zv)T8Tx2nmG24&k=i|E(iVt-XXhe%RBE;Rkgh5*a*dY#!koZ!>MeSV4F_(KKP=t| z0^Cq6Dz!J>5Sw-=0t1RAPW^wEM#$v88(mK_Q6CgfgwTo|Gfb$yd7h+_kl^Q=~WPnc?nL@=CPOxUbXwgHW|d+4O=^RY0fMO zcB3%35oA9vkYG1+Z82V@ygyannG@+V`D>i?$At`~hjo(vL%KZfo-@o9lZLPSjihW~ z*J6L|4;U;Fq@q`$gm4~df}>RMn|CRua)-*MYWeb|G8~&8o}P?1vJvAZ#}E3RJ%+t!gqR!fK>IuV_>}QZvCtO8RPIj21AN^NEd$kA%?+@3MHQ;YxLEd@W0m`vR z@%#07^$d}ykSFA$ix>+FH10FmJE#*58x$?XznEO1IE;bxNeE-q+bfKs&QMGI2XlG^ z%BVLnpqe7tkoAhZ?LdBd5G}T3n>Cx_6nIopgEAl~90iHc&r*^0ILE7;Nio4DVN#s9g&rr^hpApFP|g=R;jX^LULS~Q`#ntsr?X&WFZ4pF7ujqBkiO+DNzU}Jb^}(YuqPDgZIt`dHd5V$Mv-s)?|}<4LF(W2|>7MH}XCwIs{oudQEpz8<$NRwjj*3QWUhjWrS~{(n;$9Y$ ze;YI*B*%MUgHM7>t^1B}sV2ZeS52q&5e6+Y(x%B2q7eB_VU#EEi8Z+d6t1R8_WAckENSfH674M5|`=yU_YO;{$w%t6yfh+-r3jmQGym6 zvyzCNL`sb?BKc+ZyyXO|fe4QRVqZ_-MPdxQb<%9{^b|0>rib)L*=o)Ot-0jRx^fMD zYw&hmq{nCTCZ~?!uH&#II4{~a!a`G_{9tz1pZ65Y$>xT3MfLV;QE`<)NEOKf{j(gD z))INXrbi7JuA3AN(rI zzgn;5alNDcO=-g$cu)yDY{i_~m`WP@cZl(5AZP9$CEpDDM)w~s)5_`xlth!1aoXq` zEwsV9ZSYU)Oqy^JgKV7=EIRx5Fr@N>gZhK^C59By@oS%RXz~T1=n(6#?|9NTRn#P0 zVn6}xyJEDAl<9NukdXRX+#Nh;%{Ht?c!Dj;nW-Q|GXfwg&X8Nl-H~%s?hwFKY6p{q zP?ltSPb5U-zyTvFIliAgUQ*TCIvyz~ew{*b7MBrs`Gc*{sTf+#QrQ8%#Ob}OhFVYp ztfVN$cXWZUx;W0IPyz0ytwm~Jdv8-f;r964X3ozyEqEmp(CKzG(2Pu06DkNt^=mZe ze+Z*DNk}#g{?;Lcgr^PUxxgzlPpBL$2S$4V-i}J~Qdz=RF(JA^XW}F`wrk_U=6i!(@%%l>(Hcm|;^k6Ds)=2zXA+DoP%#4I^LJn^8^d$NEQMgMet&fhgam2Yfx! zILVtm1;QCse>>y_uNK{n#H_>-LyR%I4!#tln^_`tRYmVtT8 zg{MD1?vvFi)-LadID>MJA7#=Rj^vw(Bl9HPYSifwt-7tcspW)ryB@|^2k;5SPr29< zuV4I-jTtDd1#*iVJyA+cV9IbQa4zOO>STO4Z$g`7L4op;6JzDjJWWG|0^Q{1-T(B- z{Sq)^T{tH4E=kkN_1TojLIl#YEstWdN6Yr7q$L~QgFm_TY}uL-84-@*GSa3Im~ivI z#Nc%H;@#%u8eKw^>*rsEuBTBdyf-WOz|Loc}UzE+^H#!j}FH z`pWKk&&<;6RWMQ4zb5r7Ec@1dx%iSOqH(Do`G`|ymX#Utw3y8$kM)DUT}!0>$a`xV zB`vZ+T~m{N-PA<1(V?YMvG`Rj!@_=qPPOemnFh!?J0GQKDX}2L@4g+_P*(rCl!xf# z`_waeVzt=Tp2zqDA2>kcWqqKy52NRG2vfg#WNaC=b~Z+#8;FHD&~jPsNxB(3*;@5&S^8p&~4#Ws^EKZ)626 zod+q=AYpu<0`!O@5Y~g?2doPmok!hmXeLJaGpE=2JmkxIOa7Y}Ps z8Qr7^ut>$1?kDZOL(iBA6l0SsKFOz8Yl$OcO5m#j^BeGrEOHn0P_;~4`Z_VID;&P_ zs#A{ir}_Tov4gTwulzUVWK{RUq!VhFsM)}Lo@-Ahb`B3rZD&FH*qD~hVZniaP^qU` zg(`s@&Rechcq;nCEkw*3H&|*ZjPhN)%v@?Oq^aoFOa-{OY-$(;;HsrLkI~7(?XMBV z;7b7?`=Dqh7V8#k>%;Zj^^D)L5<5s+q!$;qknk}xoz{<}G@nTs{8_PVd)N5$b>>C+kB0pZM(Z+>nq z>gKPHSASwE=$MQ9PaqjGKI!z_iZFX6&fg^HVK7gY0!41YYyTSNZT~_>984H8QrmEt zHg}X3EDIS=M2j z3F=R30z{u&oo}Hb%$G!bR3oHA`sfG|A78XQ2OH-yOaRgCqaS$LOzQo4I&Sii zAEYsqJIsm;B?@@k^NE!o&MkOnW)084!d5bLjhT0AVk}W7&zq;fByZ0RMXeKup+yce z)kuY#!u5zDx3rMyL|SOkAz*bopZTywvu2a(m9j0k@#LXBH8bK>74Y65)$CdSCv^riof&^3v4WW@$_nSF9eQLHl4 zVb;hubAm{a{mJxpk4<$P7emL zW()V7kX>PXeFcZusNPtDyEJW5g|6>t8 zlJFGCMcXzh&Zcud-UulO5)ac=2#xr~Ti)m=tdPQDZ;wk2nFE(QOpJKI6#nrt=NBIe zk4afOU|9jxwPpBkrw%{U)}7M=G7spoECN_+|Qt{ZiEou*De{wKOggOJ8M0_a zOrFaYMeoj_5vUy@Doa*VQCoK9)43pkHa9OKeDNr=m~`c=7V4wWE>NyKj9@q~4()%yQB`QXEIQGVue9wXjPJ>Bv9iypThmm2(rQgYM4@kCTogO1_zIxnY55 z7 zZ%jaeX-y?HpvW>NzjWB57WfTH zd-C-Ive|3>9%UlzlE$Hy3xuh*u4m3w&0zf|Wv<>{{}4N<9X4*dt0Fw8gLEwlr-Z`= z<-iERrrR<)UPcz;qA*qs*^U7M0#w*3n#i*g0Ve%9%zoEIgKYlV@i+4u9~hA<7? zHx!SR>;h06L*V|3Vf+Wt%4#n%L-4!{R#?p{TKix5!QoswuX9z3d;wsf-Ht&m170hF z5Pl6Mo2Bike*+yv7^~7OZo&Ak@~est-uJ`hVkD9GMJxxj8dP{ts4^2 zJso%+XZq414fIMU+_z7Bx|Db(9BxgOiVY3~I>Ls7Ht9v2RDrET7}pt1C0pn9ciafy zTTCP*MN4)-udk8^QFb6=7gEr^)oL`s!;ilYoa9GsdM^=$ zHH}Rw1;ur37N0HHKfSpEKRCy+{ce=xh-Le#r8}Wq!}HppiMUl0XN1v|q|T z*p(3W-jiq0w@+-~J~`HULSwjzkK_~97u5MM{IeM=80jxK8%^%22(7s!HCwcMB7Zl6 zOB~;*%oe2QXk~fy;E5V!*9*ZOjTwp^fWobc|5&8X#t|!CrR|^c&59YigL^06j<&pmD^fYKdu`C zIE=-L@u1swG%ek{A>jI*e2S0gn-eX$WhXLVqgJLpr(}xR0hIqXdw$$yF#|?Pm_gl8 z3e1^QkXGWS?^B(gmlBb1n(%n(%%BZTq(+G1Y4&HL$dE)xRY5gNobu=g$Phz5us9iq zSMYwwQDC&UC zw}EiqpNZcjS66=r&cNHvw!7Xxa4dgK)cmlYcDI5dr;P4lg%-YH@9c9qi!Nnm_RmQpDSJ{te22`A1t-p6PWx zjIY#zD2+W%oyY#pd=VKybV4H{jvN(I@uI>(>3-G5g8cx=+neUXG2s>VfdK|}JfpDs z&)iucWX_KPg--lOH=O0`1VFn@qQLd6A^UpFVbJ-2Vo_ZuPa9vJjZpYn~1AI+z<^A15WSi_W zU6Ag1;C-WG79RH&D!kX!-6b<1Hh|h#ZiysM#-w*lXko$zbxi7=g3wc@2Wf*ZojN2$ zG=w`4i^3O=`lvy`TOXqw04+sXPhooNdO)ryt12lfWhdC;zJ@*hQ7lQV@F6wcAjN>d z4=m=T5$GI!mMWW?eF>68sH2 z-ejW>WvL5z=B1xj7o(a~t3&#+VRlaVo7c^?44JrRPjFJRwZ(>d)Mv*r#KWpBZ;@1lZ4-z3H_m|=Mn7UF@nc!%hQk3cnT$jg&eiStr~6s_f&8F?1` z4m-^>yE2vBB|2!ugoYOhs*A0I&3b(6C3z3(9zRFr_eS-aVs*nmy8B+`YhdRc|Az~z zv-s^Hx=`;m2KfwNCNE`Ejn5NQiNWO!3Fe{JS9AVhR|MeH*>$T$G7NjUF@QO?RU{lB z$$BIT2b9ac3}mMoLWgbXbpqu3IVyTDb=83#Bj32y^2-tT!IVJk&6F0u)huu6p%zgE zEC0exFAKy-n_U&Rp?{dvf8(SfW4D`u7`15H92&e8JH_}f-^GIsj3L(E-qc3zIfxXk z)b;3CXPw{kyi9mCOuYiw9C{kmDsnWOIfJ6EkC@sMeN*=HAU9a_FaBRW?H) zA^rZaB#Y4xbSzDDPcseZc(98NRTAT$VYo&GrZ*R>ABE15=dck6kC*@tlop&~5xr7G zuK2?7I;c35(?AHKJ>bJA^igvh-r!LPVGM zIl0Wy^G6BmmM*ZRe7UXwYTK{u+BC zX>DVg@o{@nI+3TNLc<)XXKm`{hrOq3PJJ^lv%eK(;t_yir37h`A!(4l?gD4&B4(_F zZW_STky6B*?AgVfLK@AkM3m>@uXSHL1i@Kf-kVFpWhyunVg6c~g|D>QX%b$m?ga;p zLpB}8+(r5x%PmTB#&*0c4S`zW&;>AE|Fa%NUL#*FhNc ziC~}&pM!9IV!J^go5}ggObsr58BzR+W$6r9ggfe3walpwT>u(DfUn5**!5sauXX^Bte3@a~(k{E3qFWeO*+Q};+C@o&Hyf6^tCCx3wMWEr) zYyXgf&^))k8fYTFet@{HYS@~mD+sG&!RDEYhcLDp^MWi+De#%+vee%N_%iG-mN0hV zlaN3NQ)bEUjJ_dmTyG==VX)$IS7cA?t9z01ukad|cS>M5g0Lg5`N$&;e9`J`1Hc$l z*U^x#o8xK3`v#8YE`hY9p3wGPpC86rLyb@2Rn94tgI@!2FoxuHZq+VzH>O894#e52+w<$0-=xn>(Elr!T1 zBv$_q;K9fJejrN}qef2MnP|J#H{D8Id?Fkg@*x@rly!jYqDeO72wkKn%UGN)v0^J%rvGstr`~sN6D6}b< zDKVFL3CFjbcQBOvpfUa;aZMlnTOV5d<;dF-x8Xg18smIx6N5vi<;-yu1CSFBTa?sI z=kH{b=q->H=TT@`9^iNc9+>hH7CbbdP442+689^P2{n8n- z2E5j(^J0a0gPG3@iQ)w|BGI`L-p$3zMkd4x@5-_s#mcEEZmdK}!H#OUhK<4apOaz6^WIDnzT;vrm zz7%k(f`o{xX?5fR_Pa?I!=F5HrICx#GuFs?M3_yPWj86g_w4pm3q}ol<_`;Ze*HvC zg^uHtmg>vItVu+5%6Q8upy-<(0-(RhIYx{PHCUUAso6H8B-rKVd zUb7~-ZGgXKK{Y1+3wV)zYQvx1qLXjmq_Rl`2GCbi{VexBz?9M(D&6UF{x`5|Fs4?! zdI;{Qv#rXBip8)}-W+KyX;*_Y)9;xa^UYC|74906!9XccJah}7vqz6#CNg80?-%Dd) zhtITVXDm7}({bXvzS601t;ad0aBPULuV)DjsV1$01#UD@pL+grUw?y6fAJ;h!dv)n z2O*3?Z4;ItUh=S}Fhwf6<(!*3PZW==u3qrmDiZ3e-5T+`4yTbptpcivzr}GZZ(MDKk#?sr1a+7 zz5-xGxRGM2)JOoKkL*i8*whZ-O^M8Gq;`b&SxGvjSA(`|-TxXUk3Z3Rc$hS3YGBMXJx5QPjiTmVxEQ1d|@-49EbjHpi0L9M? z&{`7IdU#gvW8Pt+hPOUo(t{Kj+nkVagy0O(L*R8Ly1c@1HroX?MoT$?MZqp{V!wJ% zB}h^_Q#|d_HFwDN({K80f{AJ44<3v-E^+zBEYh;s&Xi6r zewEb)HY>5x!MmBJz+a$g{z`YMAJrD&{|xqi)Gj6l6PDPgloqIA4wda_;Q`6vB8NNw zg_dUN{eb>MOlrEvYJp&5!pkQ8lw%MQp@ggZaAu9t1+WW!>p(erWm&mtV(rs08!IK{ zr?0WuYdG}g{YaU}@3z7{(94;*lIV$!X5`{p;E4%%73J&S2w&a$0uwX#n>^)ZXa2VA zU{i;KfD*DtU&U0RI6!NL!0fEeRN|s23~nr$5}qAh2WWwpnyTqtwNZS%1CgZ}S#8bZ zVl6Z>zAYs{=p7d$*Qd3ab3JDyG!zQnKuB178DBG&HgXK2$);GqpWjW$X4hA=#^*P| z#PL$Ddf=~F(o1SRZ9I=bf!AumeBD#&Uuonf)Cj9!tKg_gt&OJT_U1Rw>~d&izox|4 zIRUAcws9i_n;zNpfty+`(<>b$ITq?(f8yh$MdR)^hLcJrP^x_Vv|kkrEb0vK zvKN#jxZV>4LBWkdC={QWL>Uis1q7L2q<;|l+eGa8m?;o$(jt`jJ34d`FVK^^ZigNr zp%OJSIZkLRHXK;{gezuLvx+WWA~rUk+OLlUBV`tMRO#;yaKI?k$TCwkv|@-WoI&-0 z=8oYg{IlWbQc?|xJ zyEHJWct_l?H@i;ci0CN`>=XSP-z6Z%r)naP$k+0fHSo z@7KQYp*QTDI;cX0m7+BQ*_SvH>C4c+1@TM!^8yozg^Eu!%SzP0gYpP!_rLGB z=m6x2!_=lXTrAfXV*^ZL`TSaYX05EbOEF=u=5otkIu5Y5yAeLBNkxBY@TJeA!z0b* zP$`Sk&`tH2Vx$qzOSf;`oY=9uF_aU4l<-Fd^&}Aoq5uVLvpaeqf4L!2g-^dk2xmocs9Bj3g~2CtV9zZ{G>ZWGND4aZwiL z2G8!F+aiue3@~bGsN$~wVU1obO2*Q~@oWsYgEkd0K!mTP#}djWuuHxw19TkW#*qN3f2Bgr9aGTOONRfm>jR>(qxc)(Qh&oYP62=avTtSQewvs{Vpc zOhvin!nnu0w|U<&1aVkh-EY>6d@eo)R1$ypyoj!I8`o}yjbjVoOAkUliJ3es>On0a z!2knMGf6)tl}~6yh@oVgWWzP_tJCXJN^M_B=pl&k5SBL6nn=k-Mk^0xjpsq;E$7Ae zPCHf0xKIAtP713+S=;h+&L3g6fhxTw-PHrI>^3LX0X4)Aa;tNt$Lm+|B0!}xj)&tD zWykskE|wst4=g?Dq$50eMzJ+Ymexi$mcBH8dQDBWb~y zvsw-xyUt(s>*m5V{x!%o38h_mfX$SQ7h`)q;*s$==w*c7@a_D~->+9-bgT+@`s-k0 zy141Ysj1W)$Qz`Asy8ETX`-W$qKn!Kp_=Tmf!5dL=lry;rPCGWqwo^civA6$tKkf;^m*&ga|38U$UVa8GLG3b+G zN4I?(9+kZ>%=I38!#+KANZS;7oHZk__M+uHU}IfZL~rO2BLO^wt}i&V>iWdCh)7!y zhv*=+qbxAjxWNYBLlFX2{D|qS>a^yupgXn~BTTpjEO!~%pzTjsPDd5*HJE7sjz~xf z?CE#`TLj(@W0c1?X-;E!`$vzNSWSx_A$~eKruXFs{?L%zrP(5@gAH0*ZN=>IZ*NK- zzGrUW9=$2iu6^ZfVuy-hZq^LoEc;s_aeZ)%q%Op`526MarE4JcN2&#ei|bm*jc)V+ z)p)=%kFHP~G7V-cm*Kz7KU_rK{_B|c7EJFm@!icMdM1_XPXTcc{tIpUS4(Lf9E71QUT!nNDn7}fs!7&uikr$jwGUTqBV|de3NNt zH|Yr5)`Vx$Q355OvG*vZMZ@OMHrEoJZybc|P)ksnB9{U$EwT6%=UJKGwcHO`?Uv?Z;du|-9v*Tj9%{ls7@S$oXl?|xL1p>%?Ow%92~S6)Z9EXyt~u3w)7uLJud5$iEa)jDYVdti zI=C?UC;raK)5yPmae9+tbRqOsKbU|7I?Bi|jM6KZMaVBT9|kFH7}j54 z5DRfP8fw|RqQReZj}N{^hRWfbK<^SQZ&ISiGaPZbLg7eLzhRZkC> zvOM{2lK=9}61Jg}$DV=yK$PQOWg!RqYhREN!U`~7}_^MQ>;R;M^Q2XlO_H%w4*Jxh#-u86m(_t^~O6>XA4qt zr*eIJ;}6Rid5dE&480A^8Yh7wQi~>!P%nS1_~=doSm5WS(0pD2cs+(k5s%p^$kw~V z#`=pX2@9jrs>Nv}rDFk`_d3P7o7C{@c?qfVjJb_ySF~Teg2tBH1r^*<*I!qMHx&l> z$ZC$%b(1DDBcsz$5zCG`gp5jtvlz83I}AE`qV3>_!;_ET7sxOpIOO#Rppc=sltMNr zz$`PbA#UpC%Blm-ce70$fDWrF^%vS)NTa%YgDBiDHRtT4Wl=js9*VQ2IZjx5*KGr# zGMz1(#=&&wvq%6k!P#v^lM<7=6uU3?ZWCr%-)v?-DqTpbg*6&~X>pO^02e*V{AZ%c zs#1H~4ks%9P%oj~U}EgTE&V6ZfGwmcWyf0+o+wPe7ob=++vt&HSgV^BC@o-kr@y3| z<~>a&pb*5G3xY@SJ&%JF&IR|Lc@j17jcC;!OcuHsPQBXZjAhtl9iUc~noT~9FN?ja zr&tJw!*@2`+kH0TXe{R~D@%e zeTDg65suph@Csk29mcndef1b12^;>ne5GL*-uOh!sq55YD|+kqZNM1`6K(Ucqm#bP z=LxbEuur68w2?%XhJtRoo)NQcF6L>Mm!fg7L({%}pvS?ZJe|6IB}5LgFei}JjZPz= zM~uOXL-Cx{fHoHiqq4}lNf2OePlUU*uX2eFKfOpYSFhScrElY;gbI0|dfzbm}DG7$KdkQoXj6QtX>vvY*;GHq&@&6Rkh^ zC;bjZF)_<`EQDE?Y^kc0JQWT-=fr-_Slp|npVv~Z<~Qa%_O0UOZ*2y-KZ~3Fv@!5! z<35X=4TBcQt;!+b+4v^hYV;7hL?_+P&a@{G52q*jm=0K2GN|;%2P~w%Mk;LGt_6 z-|}=BF@MK((vL0+pU*6OOM-fVFDv5iq@k^v85S)0o76p$vGW7EqT{S1!Yt{fS1Gud z*z$}=>}yCV#9$pLn)9r)l&E*0_oFgJma51BD`K{>}AR)GDWFUChZ)bpRdZBl-Ml^bO#q3t~YEqT>1B(&RP97iF z+AZ!al$8vP1?MW-C!dmo;e_qT7r7Y@(SxzakHNo>%)EFt<73_TJ5{>HC_|zf zhi)Y={6et6+sSFS`;2}A*y;ysKjv2Cmd0vfHo_WVK*&?J0iD8B4?V7*SUksf=leZNv70MC0lJfL$|=!lkzuLyHp zFSiU}NsghP>Q;Z`7~*v*v=(aRVrU<% z!UOkF_?X16yB8p)z&PDLbQ4Lz=UvRD7oRbicyRD%Q zpSqFoFZLBS6hgdQGnbsjNaq`vP!VBf@rGrmMe#Rd)?t2y3&g=5d$r8j*i;}9>_Jgo)wCiTJYh7seo>!($^`nDzUdu7YOTo?VSq&Hl7D z*#r$DZ^sZvRV*Ls&x8H^4l)*nULsa-HJ%niUhHyKAD0)&VwOuVQF2hp^3Voh=1ZlY*Vb5o@b8 zJWaqjF`R-$VvVNP8R+7#Wa_>m1iCGJ3yI!!H$m5zW)?0t;VV}@qQ{g)wpxf@WOeZX zAGjnCqHVusX^?j9YFH6F<*@Q4l25e=Gq|`!h%s|pCiBgek%LB}2_uw7SWvVK*`Jjy zUmh2InRdDQy;g9lhvotESA6$TRZ(Md@e({|((_eV?EMBhdH2z9;2xl9*cQ|L4hwM!yNb1k7Nu$iX80m=>r=Y9avycCXna^krB3HzjQD`d z!2+X2di}4?3c0Y7muHJDR(pu(+*_whg;n5Q?i0>SNA?V!jKl9nl5is*_4DNzSiY=j zM`PWLk_$V%5WZ1#&KVczx*s4xM%LXZFo~a80x#r56~2a5@|cHW_p1zd(*#yOK~ndG zJ>!q>jvCu_ER=S=h4{w=Kl{KBj6wjkvSeAI^)Z}T+Sp&8U~|~Mk+>6RVkP-nUHEom<55x$>#P$?O9i7j zd{(i2vzLD;Sfn^7Y~wnLl#q$p-v*ZhPkfkPK#W)^^r%W1c4MUG$HWtY$RKCZv=x=} zT|cRaSV!+wm0jz+C+eFUcbpRI2ZE9Rk>3fauC*%G@XvV%e5UKg`UqIRU!5zGF`ioa zJ!;jaEaU!_loX7#L6S2RJ?_ogVqhQ`Xn%Wrx%X1F7{Wx zj}v5YH1jVqQXeQkvp6!iox97BZ&cEB+^sqq+>>aYYZ3fuumC-{bL)bc$e%dL==|~v z7_6}ltn^>Pd0!Cpjy6aW>;$og)c*h(uw$T0saw(KS&le&c}@(3PYiT-e0{ddEYRX0 z*4h(!1gT2xJ^<@SZ)F4DJhJYYtJtzzp6KTXe1!o$i+_4<<^4~l!z3qUzHyGE@Sk?` zV^_~r?FcXT8QtePJMp5@VV4s|wJJfgfu9G6H*#$+}4>DDtq zQj@9nx<0{=N44ipyeginwP^F3FbK0%^$ed>3=nQii0-;-4va3?IdzVh4S3jwq3r+J z2l)(-E9%k*a)t&fXRE+&UX2d7UaPafaccXrna!{`IF)HVnZy^14H7!}o)jKdACD=T z<>w?s%b!*UhU_$i)lXioEShM2@MJE4g9*fod2YNeWmOasD{Q5GhD1|sbM%@19PGbl zoXSgA&-IX?_S=YH8Cle7ckmNR@Cd@Pown@GDD6V3P>if1X&B1#lx>6|q5&AueZifR z(KQPQG z#-xP7^vvD^&R4owXg3H9a7W#;lzjTGzzIv=_&)IWHT7cKB6&}04yK(|vkZ?H_e6rQ zK0q8rxhRr8_KtLyCMAs|B28~5!aub$Go#PAr#tfxr&-kOLBeYNaKl^9Ux4C~ z#bmdpgA$v3-H-4}WNBQMyP3(ERz1bI*;Q#ARifEFw0ZMgRzlFhnwk3bW;H!z6i9Bi zV1Pdnuu%I3pTS#*#FXYS@g7OgqK_Yvs0Hg>1dlOuf7Mpvp>M)pLHcz*GDjqK+aDcP z0Q&ot#VKq$qmvUF&n`1%z6p;c3;inkIKq&=HGQWyVPZvO?acU5C(Dz|kB{?#2$M+& zSH$(2i!E5R(Yl;qi_0^xK5ga&eqkLWR+sM2S%0Mg4W?o7TWW&;salokGG(t2&P8Hz zc#!2OUmoHJi7TkOh(%w9&}I$8?gpzu9h|fCC6Pen=V)Caad+CE5nA?8EBp zc1={ayXp)jzYo!RyvM*MBI4@jE8&?n(NKN}1obmEx{Cu7W+&>>JowZPfCVQAK(`Bx z4p>wcWoHH%*5lF8)8`+LzsRY5EEmfJIl=zB31oYRENJz_?%75=4DiCVyRhk?MHD90 zW|->8V-OaUh&dywLEz$TG&WMmhVBBwjJ{Ta(oFVP(fJWiQK!Kll9(itS#nGXH$f+i zzlVB;Ije+!WYMh|DxFAInz4OYYNFR3-BqMm`pK=Kee{oCE?dYnrlnZ%E_ znPAmF@#P)*C*=R&TrM6rLsv$~7kDwXDJR9*m#(ceu^wm!k980;`mI2k(s&#o3vr-H z4*DoCgfS3F@zx|v#;y+(3e+lCb0$Y0mvavJ0P&58)@Iu;k}fQO$pObC5x2w2*E&uc z^q|_6=P_Qo^WDB9oKmhY-~L&MgIU9KkR_E&LwyfnyYq4uEXZafo&@YG7@6^8=GGIR8-1qT@E0>`?G4PdiWS^)dVb zZ2&4~`}|E+CE7fnlr+hXuq_1JkIz6{XN71?c>Jv2A*YXp0b!I8I=DbGaB6*(f4NoG%w}SDf5@yR+x~LhHW>UV9LRH#2{tnt$>YR z;MjGAvNG-{F;tu@-PNL%v3^R?=vecr6~zAsSSZBb~}=0rA?FDOSw>(92{HVzWv9?opz55ZBA& zJxrY7@n}xz^^FG?WjTuDGFMhi>ptS}-kHs-(@fip?BGn$-NzL%IjEZ>R)drLRn^*f zOw2F6>7GoG6j7vFS^S{tI8UuqABNVtSIM5;iVPji;Q3t;r^VY1PvjDr)bOg$$Q;k< z3|lw$V{5@CEPZ_ZRz+=)Hy*Yt&jQieIFDGFsG#H*c-fBMgYt|Xn#ho($ByW)AUnCp zo-U(vhO8YfNIH;0I7BIL$BQz|r~R@pD>ZV_`uOv~vl$2KVgNqt4kKnHLO-p zTmTI!J}bmetfZH{ex5nP5`^z6Dl)|5Do=Ov8Ch!cxZXMOPBH$ZKeIfJ;(g@g zYEz^XrG-kq-j+7mo9m#6ihvgRNhqTujl0GjYiWsg_h$Pi!kl0-q~Inz@Qp4G(ARg6 zF0*e(h{*?KwBdkUSS+X`oSNXsb7g$9oQ6IRUCJ>@G<&>nZds|P^B7@aHO*pA zsRv}6TAK>Ejx|WF2u2&e+BnbazU;ss58&JL1pgR* zaNNkg$&V^97HZoEtKG39)}4Q6bOqc8n};XJfL#1d;kd=@)>72au?mK>SR;HO^#0JO zqg6GjuY)$#7I+z*rEt-VNSr2Fa^@WlT*bjE`Nwl^NxT<;oQ~<)le+*nMy*lpyrn-? z&S*RKxz?(o-QUW6DAq(N7q`Y@h+%4H^^aLkJ;z+g_RC6=+`&)(4$Qb43-Z>Q?$wEI zfW+=}+W`vkf*o9j?+pl^>I`I`_qZvRKRPMhRh7fVK(mJ(W?}glET7UoU06t$OimVZ ze(=}u`ZLd6Ao(@vA~h~y2=p4ntj6-~SNA14Q%JRFkp zDO1;!QXRi$Kq%NR>QFzxhhS43;4P?o1xGs0=^4%~@b6NpYRif047<0sh`C2v1)rV< z48bUY2w#^j%|GHcHX0J+-P!7tiHdpneiu{#p?vWkQP0_JEN zgoBQi>r5=k(VUD$BE1>IqzT2XSX9i z)M`s@{W2ULIVPr{$>@)Fsn6c?YKES*JaNy>X8-0TbOyC1d#nbzbZ_f8gt)68%sXww zl7k|>WF0F(lp#zwVKs5eiKAya74;|#|E}WnE`d?2e$snOD6E>^IbbqgP|4X$_qK}2 z`IK|yZIyhW!2AIM%F~4YveY^_GZJsVn9oQvf?{P{2Ed=Sks|_&UghjxeSxB;loIjv zI}qkG@#Z`((T6(Rp5Z}H)b(fmi>=G;%&=(?>0DDUfiIPTZnq$E>X}wgmz`3x26evb zFvz5xG$SGwXi3k148PcK)l3_CKZJ)&S-o0FQX^1H@+b5)CD^k^kwo$B%9;zEkgv^9 zcR&SmARnY4jXlIawt`qX=4fW#%eS`iPcXi?Z_`g>zP}PWy?kn0jdh}*by-3__HO24 zK_+@N!vu>pPF66Y>(vujT^%FnxPZ0aXGW#(3&)QQ%#0k5?4*Y@Tjog2#s11I_fQCp zm?H}7xy5ca(u84`kDKr}np3sbtRv1e@#+|@m7&#sf7zmGOTu`yHIFQKh2r-(Leb|U zN=N*e>;?N5me+ScSK}5UFR1vXb^9U`uvBND;xxd#P3T!o-}QrL5Y11QA_6fa16|jN zQCsX0#9_8^e+2qQ%@jGIdU3+AeTFrfIC6N!(;1u#buq5w4U?K7PPE736oTyjO>6#PnvLtqEBLRlzD<3<$wbw=&I-+eHNo3RNBe=gw?q66wbOm~AnX zr5WCfI#lxc@{AnA_JHnsaVGNFpa${MQ@9>Gn^l5sF*CQi)$MWRtv z3B8M(3eJ%a?fL*fR(DHi{wqVAo6Qctof`tc2lZAgB-2j80h?~xm*?i%YbFSk;z5^o zi|Luq#egB25B8@7z#b1#Dzfsz{4_w-?pvrXBg;PtZaqY(o7UJt4)0rdO|EzLAN9G9 zrmzxU;rhZk%lD{~;p0)2?lsx1;rS6gadtD2dPl$V00j2hA@DEo+l;F7yOg{@0q$Y~ z;eb)RJ@RjEumZI(wac}hARFYq@SQ*AMjXup@ncjQfJ#1%@!nnH3*tqQS)(P0WbYn& zRjk|`S01;dk%UB@oQ^%#kYbO|&#ha#xEjTC#WdizK9E8BSBWw*k<(KxwW27R{0=tA zCh(0%0U*Nm3oT!}bPeuGeAEq-pt+Rm zt3SZ5yxvLs-=%OMq%Kh>vBl!tS)Dq@Ot5%F8%t)TY}eJJhoV*s4$>RHG^fr{m}}tW zyFxXpSaHb)f4;mH=j;eU)ec|9=Pcizcsa|K&(@T|(l7}P{mnAs<MWA_T>7J}C-s|mv{C`o6 zn3CEzyRgkUKMd}lU@rZc{r~x#PmF=IhS7gTH!TI z)OI`+vJAA?KkG;CG27&ABTzEnJ(?@lsbeslTtMF$pcLI3#>^#$4NR!iatd1)j!gnd z|D{Zju_%&9<9!Ta@^9FZQQHp*A&vSh?*u=aSkYai5Ei)pgQR5o8EBBP#?-}ohXGzv zf)$ei?M#$aX5Jeitn$0|a1#qnRgxFwq>8q$D!hSuo-k2IX`?OEZD_kls;fb|{xMf_ z56FBHu*A%3$*L#j?C84B`JG(rmK!WJH>yEf?BTZm4UuB1Tb@hgS)ImG?q%&eALXed zV>r!dssmsdMLA};S$-`po@hALfHz_?4^{uGsj9bLST-c$L8_a1ibw{LZBF|3m7j&xro%4aALB{T>QfC8B(SYPAs6I z%&C!hwkRpsB7F-Wc&AIk6jct6qaTP9z5IgbL@E$;z&2q~VFa}tx>1@_FcSbz^aFr_ zkx}Lf1AM&hUlW5cq$z%(mM~PKt+*U4#WOCo4lj!FZ~OwJwPlR-7MLghb~H=wd}+CfH; z_^@R1b@w_^4^}GL(-gOs8-uTxlK@-uAY3zWp)5fRlIbDI#r~zp($YN>8j9X^EpNJ z!<}{P5H0g077}1^Es7m$Qu#0tw_3dlUO=N07i01xlva|WPZ5jCC03Q_*cz`iPYvd8 z%*A4WZd}2%Xn?ARFmH|7z7tn;DAqlv%_xP{;wcNnl z;KIbJT)}-_AF_BuL?@g1z{8poU%i1Pee9umo@@m8N8o;m!voK=A%B0= zvBo2YJ@U4)!qR1WQycGP)}ERoNHD_q2as>gq{mS8QS<4KxJ@wdi3dL_y!PuAuR$qc z$YNSAFqVp$PdKlk#m%I}ObGDW!iv#D`S*K#!}+IZ>-51ur`MN}F1w%uPL%GMWJ%lj zAPkc&H-Lj&(E~H!nZO0V5sTHot{qjMbPTQUhk)#sQaO%ZH04##h4heG&MiUpx>(XH zIv`%tmk#@l)BF>=(QmIeGl^a`9R5Xir^;ahmP9!I+7~L+Hu4P+t%Df-s(HbBY0F)O*b5MRtZF0JVd0rc<|jY26tFcZFnIsCQ6K{DjA2yc=> zkoLBVOyf!r9#MjdLMsRXOov3j;_?fFD9qUXpCQ^9wpxhgp{2dSjMMQD3ZU8e{fu7u z)Y@>_VKAj*_yI;T=$Hla`qs3U!O{~%Sp^bG2JGRMpjh%(ltff2akm)$n{CT`#=u`l905`8oOPx{4EG8n&4@eo3 zOQ7^aM_SBcP`6S1Qkv?#L{1EfN?e0txxkr!ta; z5!`s($=!a#JZun-w?b}tB}qVi7#j?Mmv}uWdeJ=xbg%9UgKL{Ai=zaBgijxbCxKMZ z>%PM7e4D$+x3Jp>x#fdAcl&*xK6(-x zPk#7HkmY3+v8Q(^Hb5$7h4#)c9gL<%Ja#^iR1n!wjqIdW#3-ub)oF0T&pJ5nV^GBS zJMcN_3LTvhjf3o$P+e^H?Nu^mssLtso6h02=jT!)5)@g8P!|at$WC&Li|$}hO;q5miqMpWlABjk z5le@ZC>u0(qO8YRPp|uZ5a=vJ$K~n(;><^+zdj#b);{e+qHvalO2RZLr3{bj-Tf2{y7kkfN`gSL#*EKaH} z&E&Tm{5w1%Qm{I^?&r_Z>OJXEHH=uXOpM0D^kE182-BRMxWQ-n;i{EXW7n|(!6nI6 zB$qw}MOD`RC8^+K*H0E7?U90gR8bE()zqrV!c&NW%!xT|7}nk(V7wz6GR+NtF-)6T zl|4uBbFH04$;c&JZgpiv0-(|Z5Wn;Fk+$fZV3BxI`h~boUj~j{ztM$)mpzC=Age}d ze~ZA!G;}AX{mL%sCf%_}x1!hD<0tD>Mj=J%rK+LZR`MHbOkG7%OA6fJ?T3k$>`Ir9XN*g~WeoWTx2ibbt>?Yj&J;;enDvc81{RWKuY)UK_h)EX)w9P4w`yTFeU?y1?22vnvwd1GHK)&@& zgL9^>`QQ0#oxFiUBj%?7t-b%eCM(sn;iUm)_UNEmwIzrMz{p~0jRgu}4cLvy*SMV0 z7VjPWOdF2(fd5|146STL&L2ekB^ODw2V}Rj^^*rPR};86!NX{ERmE&l?PSe-wfdEI z;NC;M+bHrKDAQ{2fnnKsz9yl~<*gY%I~!$-$aCTo4405(uh1(~!dp~JVTLlMBd!#+ zjbDxP>&5_(R1r`CR;m|YqjD^gs$CS10H&yM;g1Uo0g*9OeeDBG1>}!B=WpmF_KLg< z+M2<%l3}e;OZU7t*xERq_?#JK5|@_1oP0lY0l>5Z^wzu!&Lx`6PB!ja?JZg;Q(V_O zOipK;T3r@ld4^LtuwA^r{q*v2s+@UdMyRfG4AT&KyqzUGK8d84vxgn*K!86x)_k)g z4^cYF!biPvvjkPAi>v&_{`gUa$|i1Lwmp1xw9oQIvfYO9{E1j8QvDkq3(XGiXL9d# zEnC9knTQi}G+&w)d#eX5s6+@{On6;sQv+TRk1}wI{ z3BfsR(ju;|#rzX+hKsn$8u(ai&??ttFG=F4bj3pa25Mr;6`Y)!h!E)(J00TkN4maE z5g@I%*{57YxF*hZ#OcM=b%5otIdUdX2N8cIbg2#EqY&qK4@}0eB(!|_ zfbCCmoCfuL9rK5*zq(HY`6P46l*@I${c4?oW;S_rRaH-(8}EGt|MLmhB_t6Y(X`-A z4oVQ8)XR&34#&N7_J^vrY^pmKH)@H5_E2um4&p+lU2%grG??e1ShRd5sb9&{k}mt| zO5fM}=8a7|Z=~Wt%Dv;D-=)^i)EcaH=F4-8d_Q%Q-Qph8EfXSY%29Gy^BGJ5S;!s? ziUhUr;s8hqFewy{wNU%bP0k>AiF7`XjidE*uyaSsbFb|4|A1vVU~DR2h6}*eq6KB` zq>)%mbE5B7Kb{cGzQ#s>qs2AjWuxs6QDXxjKIi{l8EXq;Wyw6-Xu}9NbVLCFFuT*PwZA}3Qi{=o+v|PTI99GYE>X}6y9qo`B(ooZ} zWfvDSh*%DOsoaF01^%ScKoe`iOE|udj7J6${6-&=;gq=33=+bp1kz4>b?Z~EUo$en zG$-Q!_qk8}Yn}ZFX*1Uvv)k!TDV?$a&D}}?5w9@&X@{`Ds^Z@OrhqEOV_wu`?SXCj zU?5}%2U^VX6wc2cweOo1yz>!dIE?MGG)%EdH}~DVO8zsivh~jL3c`pYn{9o??1~cIqO&fLb+6Pd5Fy*3;=iSW?UCEC^-Y zsS!}=6-$?$#0oA39H1yFG%O}Xwn8@Dy}XnNYyhEA{{Qu7pm820+oWiyy2Z~-cTA%{ z)ce)0#|r4ra4To(ex+S#h-B%?Mw`^**uBTke^sLI;}&hQ6Xx-7pE{oS7OQrziWj2w7sLWy_tLv<= zE34cdI=I9l|J8@a8Xs4;ns9jk+f#h}y|u89nGtdo{052;f8V85E%F#s4zT7RI{ob; zT`h*dtU`}IFAohtYo9=6X2{knRhK><_I4*EzE+$E=PwirjU7U7_xx7vOVtVuM_|^?%UK0`aLN(L zW!Ce`uNEkrj@QUYZl#+iesOF#uzsOV{?E3<8b4?Lz_nW6MdlEi_+)yZ!@)TEPA>f0 z=X921Lb_#LxO?LT5F#m%yWn4pp-~=?jQE7_GaJ9R9!224BYV`UEBn`p2Xj-8+1j0O z>PMww!U^gsln_qZ<1E{bOV{*ygwbm|B=yt?DiJ?2J1%Vi1gXzUDBvB+CoR>Yd9QXf z=u|1Ur3T~kB4#cMwx4))sBJBu+zdxuy2*+tjDpD%7rS6m z@(m9V!=-|!7t;=~N39U>$7&ju?`;QMYp~WEwg-y}Uem@;Wql%|VI(;^EgL+Je1`I> zBW&0tr#!9YKMhPZ<4YPLdenU&xxJf$dZ8MaA74rdW3VbjhBG{1Nnc5dNsy9KU^)wR zerR0obzUwkL3!KPs~F0b1LhPP#1afE$BrDjb)K9+seZJ)3?cfTR8T3l2cWmc#KOtGVU_Engs(Jbm zhPvjLs8+u6UXJgfE@jlFWtQ1<%}9uk{tz{6B1>x^sP+UlHl@V6ve=(LMujo$hL#?N z|HYU5vS1YWRWaBcmK%pZ57~+clX8H4rw92fC90#>iK=lt(3%L&!2^Nw<*r87P7Op* zOp(R1Ym2`jmED$RA9v;EjKnK^QBIBL#} z99Z)4Gnu%hFaT%*q)omvbWWePsVpu>f3%^O6kWdJ!^(y`7nU{WtEpT9LlL+SqA@S3 z%`Zs7P@tx;Gxu!A9YUIINt3$*qW}fZwW!I!=85dCy-=xx2N^-KMj^dAqWqoTTlbVu zeE`i&zJ$n#F1P@Kr{XU!RkTLxe|>t>{8Vdyc1@yqqen?hW?Gsg)qul_72;r{&8>Ig zG_wE??U(sAYQfpQxt76S-&Ow&40W+Lp}-ET2GUAU6ggk- z!q`g@3;pD1_izC0&#Y75~`)S{adR8s1>#018e8XO#2q4-Jgyil4QY5g@bA_*BPlI+Jq3qtTd2z1L@!!ByJs|j(a@L8Z8_D) z7NGnT_!8Sf^w!skPX_a6?8EUQ46D?Gr~;$%>LS<#T%h}MsB>Lpkj0S00Q0r=tIiJy zu!qcf5XHtA2r3b;K^cJ>sqz?M8+Bc7yce>4#fl4R7O7KU#S@;&I6fj@_ieo=NC4fPt(gAE;hG}{f3;9M~KiD0Z_~6 zlz4JHY;@1N2;~ps01F@2Y{^<{xwO{&Iw1Mt@FZp=-aAEbPqu`|K*-;J%E_vph<&Ec0Ya6pB*t&L2LZj~Y zV_Jg?e*$EXkni}%wRo}#FvW2d^e;PW+Jp0Y$FSdS-8 zClgJrYkmVsOMvYZP^!;TJ`-?wOY}09e2vK(6 zo~s)m6%Hc|jgLdbl6~`8m(5f4hCXzvb#r}XCMqmbS}jln@TOhgCXH+#S=tVRTP!8o z16T#2IEtH0LF+p*xa3uKik=$|_o1Sw(J+(Xoz{tlnbd==XQ4#?m9*P~gExGkvpfbv zhiL5~gQrljpA7y=)G$uH=fGo1Ar&wxVTP;K6iBw5FO1sBWLFq3OYsyHq$fqhRY4_+_WnAusV@%!TD4RLDYK#p_;*q}ADBZ9vN7ZMZp(dhS22A` zLp?c)x#mhj>e(4AUzM8e0+p*D5W$4#KqX zh$O>h%-@>)pluSSX`c$NLUQ~D2ap`4UHn`FJ2x^t?l{+|Cd6OiSHHu&uD7aiK4Y+x zc!gKNgJBf>ic$cqFe~l zRj5we`v#{h5ags}k9 zp8}}}qe!F+V3WpcSjv$Ms@a*0YoS3;h$v`xYnY zTBbXbUY*Z_GGC{UnQ>oJh}=qvfB`t#Iz!)OAfP^NNo%IDxE}jEzNPM3U%~+6&%tHW zK&jKbdxBW`r6rRU=E?2P+E-q+X)-YJy26qW_Dy1U@I#Q(R*bUqFac!Tz*oZS*- zaJx6IA&7VAtS&}0WV6ntG-4fwM6yvO&s5%o)qC0)ymeNCthNBzd_0g12ROOoe=vMu zD7kfT=NKXmNq~`c4H&iQ>$Fi)>zq)(dszu>;4@^yYTR5|XjD9ueN?+H zFy2!>A05AQa#q=^>+e%S_3Z|>zWk`7$jH)^;A9)6f zuTmlOEps*ubq8lqYXLyHRqdEBOV_)7liPBaBi-X}-lC{pQ~7!wse80Ne$kegI1$LZ zR+u71FJF>Gm<)pPywX;g9IWAV#zwxsEG(&d_ZBumk{2sBb7?(a!Y><$f%{>!pQ0Hz z*+Q(g3Q^TEtOKE`?5MmLZqKm53i(rc*R@^{&a(vmMLqT`L_7>Gvt5wXOu_kS#Xyo5 zGtpG7nmX~s%zI-X_gHkAQ=xGf z*t|OL8x>XjRPWQ5YdE0*+mGOM2%yt)5jOl3^hTF2r zZt}X_{F%UPYiJ+K>@2o{ zqWT2JrbgQ^WyY$)D@FSnd@O@d*X0!c>*x2pJDfEvs1xhJZ;s1ig>kPb##Vr*H+YT9 zwVg;F)jK`(8^@bdUz0j_CHB3ngy$Q4r1zaLas%6Q^F&7@S-in$%$V8>EOpN6`PGdq zfLQW@PjVi3DR>^8VIeN6-15B9z^o-GaxXBD*%XGPV0tpjOBKFqQ7rZsl3fbolee0` z;95GCYGWg0~q>i}! z;+oCrDfa6EZM7PW@P@6CpU$BF#l()UeFtYnz{fMD_5$H^1s9XA(fP4b*`LD>2|sG!nrHQ zq8h2^$T)dsJ^VB@(BpVsp1vyE5Zo3ZcGNx!&xNho;Kg=-py7F&j$3Ai_&BDRdlm6W zdaobjnr3p;E)mKN?2X_NV9m^4qwv*oL3vr6UB(K+ITM2HiUL+*s{okPTaDk8(L#4) zjVM8-3GHm2?xjSsh1w9t2mN#+%N}m|4r`8OM~7Fc9qbQB1pCG4XNF)(N>_Yv z*XV4{C7Gd*AiF_&?-fC?!YXTo(@~qWufzssH)}O`oI59_+L-%ls023zSJ5z;bZDh| zNbcn`v0~VGb*d0A1Bg?!I|{b2G0-rNc_kjWPp%F4Cu{dlkSr4|A{B-xz`O-q9YQ-f zCXuv{)c5eG;;&u!Ip_ygd0Jua$#xFzWPiNU7pT5XadCSi>yIRmwL@~*pPd(fsZ->= zrp8=_8|+M(#53XXxBe3E!|b3#FSA)bceS9g4^q&&xaQKYE@l!;s6=M?{*~&w90?ul zxk)>6a}d}b`d&d0?zWm6oc_$Xt|I%W?nq+v12@t)PBcp|ywTyEghDL2s&w@rWD}t; z15avpPLN{ru!YeFMVu#9cfpKW_6IP|GKlXbP*hXXQBb*OnRTJav<>Jcnk*tEGHhvD1C+N+{<`!2cu#8wuH}7zlvE#iApORO zPCz?nY4b&7F0`AYOf`pimc)Z`{=)8?pzVY@G$zRMz1eI3tLjn-0(o^;^SxaRv^?Sn z$=raqU1sZPr9Bz+%ciMjiSZ*knDI2>d{TZmS;C~5yR*$;@7pr=B zTEDJ5swAyi9!G@I7VQ-px$xk!EDDEd^4#*GRf|^9H;$8l@ZO`8tWnE|+i_7YGUrQqyDe&-ur=XnGs+r&s95~sU8ub;DfRnm z^Nk=5NBa>aZvT{i zHQBm@OfM3J@wneb%u3~}r?O4{Wv-Peit&nZ5|tpp@-&+&^QZAte8AiNLnSy|mxW}X z6aEXSLVj%RA?zfKjQj4>+gH{sN+Gc?~B(1QakS+7~dsFvDVhala48`_b@&)W$SOUV^64awGWjl z98~jONn#|;XMdOJt8A@hh0Rb$&+YMj0zd`?eypMyWw9Ce3@j=8<-dW*xBj9ALDNC@ zZ(6|DTBJ}(AeSWZTx{y@bWX;C!Xggr>m$mePJgzsj!|cYSHF5-^JB(W4Bv{}_%}-9 zyUwhsKRiAlQZ$<3cxJ!5#9hgy4|<_TcVp+tn-M}$z3(S%@OYszy=j?f$rXYm@n8yd z&u{t$3`wZhR~4z(f{ECPSp>)-(rOCEYX|&IeA6G_?`M(ZnVjy>rHU&X=$^#`wU4sD zNH?>N1ZIAMxba5LQnvzys3t(NDn;iZb?A)s-oKSGp~B*YDdM8P(VcllQcK!lk8IVU zV-;fpGRE~&PQN`?ktMOiW`fkO?=jqdlt%e75o=jp1-@0L^vAr@<}ncaYasb%er`;U zF2?#8mh}FZN$OYIg*Mqu=)kP>dDO=nF10hL9N)0@l&FX`i^;?_5817pB`22k|Ekd` zTkJgN+ht07>dW4x4OaETC;kwenH;9b%t=fi3r_<(hcevFuHDD~bRHV7i%3>*#JQT% z{qIIK>PK;@XXI7hrz}Ha&4H`ff|1hAo?q7lK12aFaR||+UrkBRn~nwmEajR9c3G|9 z-s-nt>+)b;dFy`gV)L9=6B+BaWS-f~P>{3oTks1vv@b5zB#(4@Xgn;CgzyrDQeZ8v zb!3RFM;R1pX`n{~X9>8I#4X`|fF2Q$K`KT_Y`7yK^%8~o!|VifhBH*fPi7dvCSD2B z`r)}uVhn0#v<&K}w7j&d4JkecG;*^w=oi^kCp|I049dZiu5$oK331Ny>v{NWYP{U% zaS;y6>jijxFowR|t&I)PIePza;89&>S1vW-%4l~otCt` z;Q1`S8cryaztucpt5%K; zCS&~H(A@O1*3|{8dr>)U5}p{M=9K*46udp|D_^AUTkBOt#YRRiyU)*>Oa1kv%b{@Mme>A#hZ|gU+g%bi(mNs_5g=|$a5WTG1Vv)mZ6_3G+g+L9r4xdZ%mBLiKrNzJJ^DN`#lX zF*Si9=Hy*FflKrUHV}Jk{aezhxwKGMK|-bQuGZAZWKbT?jVX`TdITxorgTgJb5coa zTcf1lL>_%KSvutqlL|&l!iZ-{sm4}2u3^C73d=Zr(5OjH*>w3?P5h*~b9ls_>%*ZP zsXX;(Z=?ljmgK<4ZxP`7xrpSv7^Sp)8m1QSc-GxvvZx!R!O$!WJ5il<=NJvQwi+PJ zoV?TN+~y|cl*K$A(y|c6KnOKhZzROGt0lz{ZBe`~!$w~|4%Wv8js<8}j*T0lPuYvj z7j3f7nSGGH#bhg!gmH7L^hD2a4-1wb_d65L_;&I}64&&aC@WJcC6v%k1t|} zg#w@)XJQqZ8Y9A(l7Xja7oRjd9Pw^PMJ`P4BzsV~Szg zXH&0;@&ifvu2|%_@7;R=_vz=C4B>bF#Lm}<3_SFe$jtS4Kla#i!H1?hIZ|0u1%~2k zRh^TYdR{tPL14N3TBlesGoy_|Obg{`$x%EJlJqSlj>Qj{lq%t%PpQS`?@QE=u%#6t zlFz*77W#G%>P7#|>N`V!iV;rUGMmIRt7uSd!Q#3XYW3B;7N6B6^=WmSGafwy0Rr+8 z#e5&g<`;0Y2rP3HGi#KSiqtQxal+?St%xve zZYGFw>6J{Wzg_T?TD{2zI{#O0CZq}tEx@Pm5i--l!F4ds3{>wnXV zDv)tw{l-9jXIM1R?~&$sTY z%UjPF{HXXrx7uV6JtP!|{h}4Jq^9L9Aq93l{uj^5tY93byqJrmNnyDe-@996R63q% z%ROs%Zq$X%j*yS9BGZyv{J7Xp`TNs62ME?m#{%Py)LFZ69Z zcpaSht9XhND{eVe_?Da;g2|WCo{LO-q@5tXWb{e-~ zK---8=5tMlZpjAcplkMPwO)A`X1mfx;)_ND+S=n&m`19iQ9Nv4Pyu@(3iuc|ElN?@ zGBCm^_LA`D5~&PDqM^;W4fr8J+(SC)G#Q>8=RdK;G@62{`^A?LZzN5RlfN{oy z>JAe-V0#Bh@TapuJ?Emm``jhL=qy2aIdHLH~l0`ab=tP;!BBJsr-Eiu6HbK;*4{+C-` z3?Mf?^)xz&BI>QJJ)(UiPK zKLh{$I@o=aj>LW-wlfx{SCWP&x#D)3OF!OVPg*kYhG$1}54L+lsMoDm1WBzM?#m9@Pom&&lp2!I>^} z2%xsqcGg!msI?`CHc-UW&^+nr*04#L^M(rz`g0S*Z?mVY?DOVG{w$GAr=oSm2iHE3 z^NCM3FK~Vo6f)5SpEA)&B?p!$BkC}D4_nyuU$Zhc)vI)U)OUMAP(Z4H8KT=U$;V_y z{uiUdz9^;#(r-F0o|J~wr7h@DvgyZ1FIWZ!;@<1r=7VfuH@4cE#>AA10E_=zJTp{% z;NWCkj&p(959WIyTUSbbO#O6tPRR}jN1#V`m_al$%xGc!)QD7g9F$|1qP&cAnF{-e zc~ZGK`fee2ZZWXT+1jfz(c&M!NyE@50aD-f(5>}lPx{~xkQV;F9dXs0wYwE14JNLeR&3k-)6%MN}sdAQ-vTZ-^@&SMFj3&uK^=C(j<`1cDuiEaFO%TvrQ?QBZd&u zdtt^y+#gz;Tuxa*JC1<(g>Oi&&?f9^{E^MY3ytOVmbqNP_Si zY!!JXy!2l<2)wwHX$D|`Q+Q`fx1@MaVjbA{KCGb5 zeRdM4feeDU+UNrP=DY1*_uO`dNNfBS*d)Wt&)n1Sx&=c&@?3MZaDc#IOstWoMMBTz z0Fn-RmdX2H%s`Q)ZmSPpMOs<^ zj>WgK8f7(Sg^1SLIW&U(6%&d*s=y1v6RRDz4{~-Q*WXODIF{lg$I}be#gGBO;)yJ* z!6WhAr#i8xMv_aHctC&oGt1Q8*TH|9mX$|l&6NEKFN$AzVLHlfWY^G`II+-fD(3CB zOdeuqu@YU@7L-LMn&Bm~Yi)#yc4{rI?3^G5Hg^JoMWYKRpG`*;Z2O}F6-qxj;$5Me z-f@k=X$GnvzhaSjN8@UP7FyT)zjmRPk??5tTv;Y@%9%vPDtp+=bp>v$>bh>7$xP{j z8*%qi^FldG6O+8-J3I#%C6vKGA(Dt}C~Y;np6)U@(KGna`DuqLE<)zS`m8)(39rB9RB9%g6bJEYO($l`m9yG z{Qtoa8w!l-|A*ZZ?4{OZ7&5I}1(ra(f8Rn}H8@#{5NqpVZdap(L9;v-iL89(VcKq7 zDlqgvo(O5yUxH94)(-YPO!!GXB<*PRx%VD%q^@vFHq7aYk78}nlM)xR3X5VUR!fDM2PHoQ+sg!vtnA%4T8uWM&#tju zy%~R<{Z8xK=UyVGa3PKK^om5)iIbBYw=}g6m4(b_kxG}2AC&oI??X$s{C$i~f1N|C zrqQELBG$eg2Jf|!6As^lx?nKqJ-gsy_CbM>3rQF~&T{0$x0)*t@#b9+D@J0TUM`>+ z46)D}=v#{t*LT3Zva~Rw_)o5l2RWry&>(~B(AEctyH!_^>da!OBFkn*PsS~%ESjEf z*pO<8Dh@1@{W=%k8~4&FdAjVCbc621zXn;v&NA5dl=@BgOmd&v@hS zaAje@-VXWDJ06sClT!60@pWl9#P8$<31KceRhBeE2&nRHxch3jU#{qYu(*CKfEqAM z|62YvRv5YoVZw%0?N&^t6PgQ|dm^!hKBg%4`yUERsmsU5d`|)kZNrywx@jsOJJ%_o zW)3fkzZyfc|7RBHZj}lA{{FHVdD->$th4~reOP;QVlvZufe!x)SJK$XSs>ZLUyjiiSI{pmNYwQ-j&E&a*2c4qe?V3hUY>W=pQ z07$4NoV+~_YB@ZLA03n5gtOLsgNjc87jId!ZsMrve&M4(`Yg?fgI|tWAl13?tPm9> zf>+Crz6f}(G4GnToH4p3CK*jVJCa$=PcVla=gKEp!-z*9W6)d9$<7B0we(epMGj#o z0djC&6;SkN$JJZp^YHd;TCji!<}IJzVTiTAUQM-MTEwMne9E}6GYgXvev{H_NHk)s znpj$hn~M&670%d;gC_C!`OY;MSr3`vctAF}Ef#A=2+Y_#TByCFesoOvaA!W;{oX>L ze(9Z(py=LLN>jsnKyn;~3A^n*gPdxGpaULWt6}Nq#CLTo;bNBaLn{FZn zp{O>OT}%QLReDa(PF&QYKRZ%o8W01NNHb*-=^8sv37-x~l0K>EKTZC2*Xyryvl(!z5L`cDEIRXqbO zzJ8xx>t|{j$uy&I4=4g*__LK+(EskH!Eb9wL3FD{yE@;Z1tvXO%=qFgy1 z$}D_ujnN}_k8zbDt`=P;+i-Ui{%`Skh7gSq27BbYrlI*9zjmXhh_XjMgbq~gL#!Hf zR`jIF2s;Wlf#%LOlIqSIJXlr(lp{D3pO7?d+Z6U|8YZcWiy>XhCb7$;2YMuKd;x1zzI&qWc~nh>jFcwcI8y_si1|bUBbsGWG0+b$$XVfLj+tr|54UGN`U8U^kU}mTQVx}u zN3K2tY|ApRh%gSC%3^_g80`3lgOSoQIn}ETX&6`7`fo^Az>@iv9Aad}Lu@5eLh=?m zv*%f5C=-1{$7?pVWfjLZKTG+)GE2H;w0h7>to-yOGK?5lAbO{A&r{QQ1wlK$i10}l z4=rEjG||c-V)U%KH7IZ&Hb&ymE=Xj?)?#esY~ZOx{vfp>@>sfe0#b60sjCfgyQg^rb6KZDnQvF(bJl0#P~S9l`Nj$z#p@1|vE zi3~*&=DWpj=@)dOFQqJPW8QCd*HtPe2i8Iov^V4_wm@&`q&Rq)<9rk1l*)upP>7?= zXtbTazup;kEj!|Yl%RrNvipAr_8`F&1bgu~$nW*XVjf=kGg(AM1zfNOO-OdlKS1mf zSRJ@58EM*1FWQ&)#|zc>Z^4~XjL^0>rCgY2EQo01l2|$fG4&uA` z*UlsUsQP-)jc?0|Ps#&BcruK{?I~zio^_~vN|PJ3wBf+NF*;!Z+~C?+2MA=p_Xm_` zekm}Pi9JfInZ1y=iB+z4)l`pKO+|iiD?u{qkW#v8mUo)xV+tJ8^I$~0YRk5^YM#Q# z{`DH(Uj2lP|L3>1;&^WX9#tXq^Yl$j?uX8%|G;|^;tnrj3QFryW9^4K>@N4v!tDoy zsfPGb?w0E&L-jM;j#SDqLcFe6D5g&{x5K2_>Cmr(BdiPWn+?j~qDNqN5dSZZ$7!LB zZ~_cMbI_M=!f-PJC{j7JVjN>Fjt>v#|KRnFsr9s^~zv8K?ZwX zR*d_%(MuiH64@6Yk)KPCw4!d0SRodyY+AIf?1eY6=b~#es%S~tGNRnghb0 zu6Ron_!SGc1v-`DjU+b~Z;V_{D`$J#>?qCS&+D8sq(C;M0BByP!i+2_eRL=&I9R-Z zG;IQaIYcxCCIJ-i&t#`sYMxo3YNd+LtsrVUQ8?lYKF)i_YdpA6qAB7#nMai9)p7N< zL&5WUN8w>_wIqAdF6U@M7h(UU+dV%k4+&W`~nGA2b3ZXCwFKGA3{os~t|> zlD!^wM^mbrBlNy4B!;ZGrU0|SbaLWY{9LPyEz?R3jr_?2Ah(lMm_b0%3ee%hZ$->Ilwd;26v$|Uou)fqKk#kusH zA|r#ZX1IV4_E>yW4SJ+n8@{=UNJJU)AG``_D`MX}TYAOXE^3NE^y?UDJepC6QNRH` zq=Gjz=Z9Tyrfr=VbO`-U--zNO%3?rn=`WKkeU6)aIm`L;XRLWl5AVwX#XV$`E;Dd}GBYD|c%N?M= z;UIX?Hq;FjjEZ>C?%_o^XqHpHPD0G!)cY%3y_t$k*V9qGvHCILt)m%DI2nkbRy5fR zJ-(vrvkXRX46hy?dgqG(nVL#{=}QJioID>N2d8hE;8va;m9cAI@`zAYOobHvIh6-h zvqUWS)@Ew z9t{1CPTLN)eUPhe{;IB&9%YwrI*S-Y!4Zt_@kb|AAu$D0if;ixmqX{piP*27e4cvB z^_Vn4GW~Y~e;t0cL7ufS$Um2}v%(klVZK@l`Sl$Coh!*ST|h4Sq*BIkc6*LvbbWIlg;bQD9i`Lm^8R_XTE-2ZzJB&WBfvUv3~1Iy=>&+iWcjmTW&e%JG3JON zLZm)Urr38s`?3k=Noo9lF%O~VB93~&Gh|CZiEwS3SZ^~_1>06So=aluWU$^h0hugg zXg7X$^Xp7(Y)Y*=Gfz->zR{59@v7Q`fe4aTxczzL&mWp@$vdANGC#gSTGv68N@|SX z2iPu&ni{Ow2N zUHi~z2(^{dQ)Zwn()e(jaE>oq*gHS93E_V-2@UrcAd8j$vULC}j~IxrI>_On27gU? zrs_eulgwt5shulm;!mp0baR;h-w&1mfW=%j4>(Y?#pE-C_a&2dOy4)pu~srR;n!lg ztihKJTMMwLcsZvF?XW>h-20w4kk15wD|nM@rwgP|FRmYh$PY2T!fM;G%cb70zud#L z)2hvhhW-t4uF3X2>G|LX7Z0<7aD8&OSN$GRYX1RZbApZe zC??#M|4?)kLZPVeVs~$rgy*Q6gZ|2W`9(pl(Hn$g;+Bh_DrG9!34gU7mF%$t{%hs* z*fhr<*N?MpDTc561^WjsEn&4S+SGka!LyASSikew92$>yyP|D-7znBoDy!0tQEneD_Hkr+55&5~~-VjH2R^ZyNwLK+3dCSR=x7=9cQAEP z9dub%Qdo^M2cI}7jFzIDXvS-U18~n94SST^0(~sr4q4jyVLF}28w>xaS2FA*P~?0A zSJEverrB?M1tg7;QlGT?6oMD1Gb#u z)`ts^K2=5sspcco7<2>bJg!B1Nmns;~-i5szNe>B;gxqLn*i?Ojm z{L<;499rTKSVZ~xJYyo*$TM%U4mh_Z7{-0rN&@{IS&iH2x`5|`9G5(Cl`p$e+F8Cn z37~|)Jh5P-US*pN4Y|Mg9nJuERvRP8%COKENXpRf?&E?9qCN2@kl=3PNYN9Ak;z#R-Xrbhv z>a461T|`0(8qjB;)-3Q`%0@P6y(_O?D5d;*xi8mQsNyR1($LVU>}j(e7a%SW!}2#W zxSCVB?;ZW~K+F|S_thofP4}x-CcqoqXCDe8oICYygfG^N|KJ$1GVN{aJj2?PI}%AG zz6#9X%LeKBt|7x{P`Zz)8z34Z3CYP{!E$E^rKjMg%g+wye#_7V=q5?AL|~jJ3;Xix z-AM+!B3WM>6poIWctcrgePmtz|of1ooGDzug}T7oaF z*w%$@Gpv)B!hb*1qdBO#pgIhjk!$r{Wfl{}sxZtpU8V)#3G*Q&Lb%?Kdkoy(u%Mi^ zx+xTRCQeAJP8p8j)jy@XQ`7aGm8`=vIKJUDkP~iT z&}T!%%XeVH)#2HJDkg9lLq~#zESMk98x>-#dyF`l4tmBy^OFHtsH# zAarc6cCzezLQOcR=gO%oxqB%{OiyDLFlB;{_Q-rI?@1c(1f1 z1I{r#h|@+l1=I`i#|!+R3>^}8jE<-*8zG#rA@%^?X#M%C%t`bMaB~tHiy| zCs-;`H|8bo!vSyu&x6dRw`<0eoa9tn5A13Ps!bKKitn2t=f=2nRPIM&=0&#&JQXt~ zVMs_w%|?opl3>jmi_7E^@&(IQKohS9vv@C~Gw;0Pirn)+?LU>ErE>A~)8me4ggdJ4 z$#n`kYNn~L=0k*+a6R$~SdSYbhtTk2DV6x1!`r~x(ANra6W+#tNK!GWmh6!3^4X{} z)EJb|xyhKsClu~M?syxqi$L?r-sBEQvZ~O%aUP5gyJ``kXJD#bS|4&K|8ZjV(r+1G z;1T_9u+yyK(%c-xTpAP|)`fyERfIEW(c`=!GT^Y*1*Os1m9m1s0`oVH@P*-xme?^p z+!iM_I~LyWjZoS_)pBnI5Iwe#ww65ni;r?%~2ai%>7*7 zaydWlDb(@DoUinbKJBVtiSBG^N=(S4dv)uo6>25ADShkgyGDBwM9|`zgZRu*vxR|BrlgqIJxM{nQ1|KD%zPJ z;Z>T61w7rA<@~67(cSu!p`li76ANKiz%H&@eooPh;Q<_Ly74m39l5>MS4dju zhO3=nwq_~_D?OQMKT}wgNMYDIsVWO-l=L1fJOi1@3LsOwqr?<&CD zTt4USLyATM;}Yzj7+6I^3UHru_gwzu#BF!;K%}vSg+{&{toqw!&okSnw50V_;Cz%?i_;>|6<}FuO3yJpf+x5RKFv*rmz0n|N>~TFNvP+o(grOXMjbplvZE_vC*S z82CtiN1Dud$dyh&yY^(ah`=#L$}8~^jx4P$F|2OvI?LwA;0PA(k&($Iy*PEvG?mT* zJg0vsRa#=sFg5L}U_Z*=lxaRhrfl@JCvVK-Id9OfFEDobCWgH}M6u-sqk!bgfMcS; z2^TJjUS15V(KX&p*uu~~V_J-p2P^lgg@0kVhEHm#3ev&GWp$3z^V+izLCiF1F)eNe z5NfZvb2FkYOiMAxdpL@?Uh(euvGlB|i8ZZj#VZ(&Dh)2fFl(+yf6UTxs5hr?av3q> zJI58kuLTluWS3ZAQ1@tN6zPDm?u=n5^padDK+P{}-`gtetq5EQ5edmgSjB?tla}bX zc5;554(z9{84VIej2J&bWqLUe5%XrOX%npY*!(SL%#S^+>POi$*+$7WWg`DUfguD` z$tK08r%buLW2+ldMrp4~3CgXYGLV?NOIinPEC-%9)09$x3P#0uO&Hru$sD}9m-d2% zYrF5NE*NaA?zZCvE2$+LRLlBf%=LS9o_;NhCGT3?%$^_qCu~hTORK%gi#Whnmd|dy z_C8o6akxu>2PRbr?p~qjNwD%$$jDdyP|do&@k^!0^qA2SNmhc9`}4j>AWV?~S@@f( zH=-Tl^UM|scWaqpf3Hcjn|m7Ca2_!8g!QZKohF*P#2X_~%!EACPIVrkFSAo? z)K@50_a`_VzK~nXBivPHXo!-;Lr~?Y8@c_U8`t|2vd>IJ$_=8pwX>wYrx)V;N)VW9 zS%nTQre7>kjaQop8}xP8X_k&!BEs_BKA+D#8}g?~`Pg!WPoLq(sKP`nN8MchKd3AQ zl@-`Jp|3J$l(K9G>>*WNwisoaTBalNUm{{(Ka4@^og2X17^&N1)Ef>C`-7EC_ivST z*BE2s;pD6rB^|uJ^vwi2;=(9#R6ptPy!T*6RHdx($$)K|u7BT5jIDQnr;*6i;su}% z$Reg@Fm;+Z`HdF{#X;^h;7NIS+5FeC3W*>4IZXKKq|+}it1asO5T8_%S#G8w=g4<_ z)3dC0YW+WWFxRi^urzd^1wP;gpGN$h6-Tlsikp(9qOGu8U{Vp0^@36c$C%$*7|H5h2%s=Mz%8 zLA^RD;Zzy>WwIHeB*`FARVk4Nw($U{;WUS>wXyEmK~2jwmpM|R=lQ}|lq`#iJKkTY zsJV+mcagDasVF8V;-NJ?$(?`8)Sgbh>BCTLqhylDexV3%{EU6G#jeXHV5RCc zMIW5}Bz^Kjz`b(`sKXYf^iBNG_Qf_&Ov4vyq<9#DT=p9cHeCt35WI}q_lKtJ3@Xyp zTF-n~v4jh%n;2L(66KhrlAdrg+l$L%<628_gB!I{;pQCE*KdWguC2!eQ=dPQO98>* zDK|=votd4T?EVP#2WbS-C9~;n#I*QHBA&JQCd{xZwekH2!#qy=>*^2AU|4&OTQ&7K z{(|q*pAXQu`KRT%)L~>$dz(!K0Eu78FNkqtqQVqYpNXTgOuEb%uVdYuH-4Vx{4^+j zV?zhNrKleh8I$vDDgm|(EKc`>hMkY7ssIc7!pCC{>47Eh(+R7Mqx)cikyURrE3!z} z`O<@HeNFG(aldpY!efFub+lMJ$hoM!c^G7IJw?Kw7L>TU69(Lx%e(vv&RqrYVDx4m z6LlUSBcL2;P@L_ZRV%ipFaAT4BbUU$ay=v89}!U5yzJws^3`t^=K5Jr38De^`zvWy zz5Ux8RS9k70!a(6+X>&QUu2b$>Kkf38pHr5Kq~%JYd|paw~yRKj|>96#fq62rOzNR zAd(ne-~~(V5Cy-ZbvAV4P`r(Jz!8ca#H;8DHzR2~V4#Dww7&iHO0Oo|&HkhbG1uMn z$D|K`6#H@8N8d8b(}DU*tpCiPpmyQAN|M^Xwo1d7%;CCeM`dIGV=3p};Nr@_PN?>7 z)tW!8c+;FZfszM5Fh43vC1BvPqmjfT&TX1{g(T@C^Xe##vgp9-2m6E7EJuQLX|D#2 zP&Y~3o_s0iOtAo{krAV8=BFqAVo&igzT)r*I9EX&Sm)Hd$ND~yZ+L>x$d;W-J31;+ z({disL~9%YixiD9MW}b_;-VNmw|iqli~>=dZe3L!p&$l$exLzf4g90TD}eF)vbdtR zVc|Ia-z(!n7SwN7j51y#-qO^9x#1$?ENcM0_E5p_qD>1wx@^ciC&{=2Z6Z7FEZN&P zkjRoWYid{gmDpj}WU{S)e>Y`QDvxUDNR?t?%zAGW5ogE`N_n$k*q#opQ(b|a*b>@7 zDT`rDIF`W1CTU($^Kpy)^>64nw+Lw2W;sNAt_td-0rV=8P!1^eIY2|r$$^G(D0xt| za--LxotR?VKht;UIk>a{aH9CM6YN7`NXY2$C?O%l{zLEmalVIFFR?j}w-x!O~n!@Q*bcPpkU=06Z0`2itL}^VQRlEM4JqxA$j_U)Dh;pp5^i0qFci-OI=anO}0@BZ+qAb#R*kH!rZ`1LgQh{M^myu zm_~`wakWs}SPNZVj;75ME+EzLES3A!Il?&+90Y+#jyrN}5QA70JG?A4>Ba@Qx(deQ z60Wp;>>Td4vKu9GF)NO`eKaq~{(#k?jr-Q_Q4i3MLyw}>l2U;_qB%}Oq;$Z313C?| z4#X=j3^jfBsm*q|o1SfE$#4hL(Nx0QMBdk-R=y$tsf=D0R>BR|1^o{AWa6aNj494+ z>KurBh|p6ULx^M^SfWR6+51E1;1B7Il!gn-!SObSm;$<}IC=dC-EQR;3ucldtE( zPy)6g;#41JWyI+^0A?@VsepZDP@zxtMWgm3(J&fxoaX85ttM?M!?e!~29t>uZ+nW` zB%tS6?@X&x-2M!n6%|Qn=bpzeCpjITq_dU7ck)5NgLSYT+V2{ht8PN0E5`_;TJa*w zw8O+eHWs=naClz)_ky(3mX3 zMmKvOI8Z~rjN7pn=IZBc`Cfq`(09wEhh>T+t4ox=q_behm?t5mwJ>e7LW!@qr@fR#o=0*W3u0OuiD1AAT){#uYPcpz zTbd&PyOrNNp(*b`kyKx-MVf+HjK(->>G3RvQ$*$LPi;#`iFFo7YmpH|^6XkJx2vkpx8sE)U`1h_nzWb9D&dXK&u zD<{XPHTr2z47CQjYAqrUOqlIN(3=imwp*#5(pv*whmj^1?d)|!5DBSXVUT!z`!-V;40Jc3!O|e87yjuqCX!G<%ikioPXmZ#x8)a<__3?0 z_3;cWA+%<`0*(JBNhZA13X2r9#4i6yX(N@NwxxT#2$ek`c_w!16L)&=#;~8klN8UT z+0%|#luz6Jh03g2ZJgW~8r~{BsjNFY`};B8R8fAx>xcpB0wX{~4!wY+TX4*qQGkZN zNS$*Lab}BVydA(@pmS8Yvuv3By_8uMp4EHLB*GF1)>TQiI&Cj{s-KGd{`=%Gc1XH- z!nDx#$XWT4F}cHqBdZTqX$rl(vdM=%s7$me4J&n%)UA%fV=n691VJ#t;@FCvWe!hq zdj06Bo=95$iY6F<15QD}MEOYAnlU-CnFRjCVD=xRjY^oRPFG>;oa3Bzt*7oFl^0hY zqNp6#B4XG3&+t)Na<87)qqETr`=fCWFqhA8G#JFTp+{(eEh7YhT~*+u#WO|;|25_O~A zzb;DCC(+8qhb3?5)e0cz|H3>UoW4Z{BJ8qa0MVO7Fr(+g^S5AbnmKSx=9dBo;jf>Moqh?VTpwe#y(bF^L}mH*9e9?N!F0@1iq_v z+{5;tbiq7hLiv?d^3q&t3mBvb0IQk7N-u73_c|*n>T%R3#FT)MV8+@zI~LY)DYkyr zwHu>n??pes(-{F?}{>7@Al_wF$N|t-P4mM#Kmw=wz$wE(Ni{#(xqH#;S1FSjGDY zb!dl{tyG|JLKF=}P9?J$=JZ%d3Z1C97tuCK>QFR98-~4LLk7&HFx|^11`f@QH#5qw zQ8s{Y3+?1nq+{xI+3wuNGJtbsDeIj6^L?nQM?^?dty)!L8@)KA2FxmW%~u2X*%jIU z429PCjy~b7v8wQA;gaFDPx=uJP}$Xq`*_1ox+RA`*<~xSj(Vj*%6w0|XnpQJA8h|< zXx`zlpESG?>4CrTPQ5W|}a~KOwps$^~8ahiR!zcI>f|f`w0q9w4@OTNZ zowHWVp^3ep6!y&lOxA60wVH9#o(h<~H&VCEG^snx@~5CP?w)ZryAq^BLrYT;*b>TE zS(In4zf>+ZCG0ntlDBhbkNecBe}x}jSD;F*5s7fCyFHn3JNr!ybemX;)Liub#g&rckJ7ir25`4$J0r@lXs}u=7V4pFC;v8 za`gY;mp^s?Kl!v&^1_>Vo#4N*lQDS^mlP9$`l^Kg<_i}tS0lsIO|9|p=Rqs@fg=mqicPT389%hXgO^&PT`-$q?%)YpLLTgMgRCJ=~sq#{_2!c~i2G4>sybDTO(-n>&dp!7^?mtTnAl zA>CZ;H^)&no66M`ZHq-MPddeEKBST^XiV&P=9qS5Gj>78m=mEV-uJQLsWxcn&=FMU z^L{A~?KaxSmhT?>PlOj++q{-iu|UMt&45+RNE$;dA@Tv4)46S8^i!?$*eCTpU^8Xw zFF;}rzOaIsK0jGh?K%WaCC{ozEPxCySAz<}zwYc`RLMtd#!yxYE#A4&4LbLZbf5~d zeY=!aruo7)l){4JNhJg%bCwPvpFmaL>^!ZV6bv?WG8)KCs{%098?T;K&0Ig2*Ef^I z$?KVdv08{4yI{`dTX09@Jc1x{^t=v9NK$OmqA4BQPN}tH+oBKmvRbvv*6P+HGd( z9T0nJl_`mssz6I#oRA2<3L3$f+z)lBN5HHJZ0it`{L}oK-*;!k@zmtf@UQ z|JGuv#wG@Pk^tth!{&%p=VWD^3g+Se^SMI?IUjIgNl$BLWdU}B#~gt0KoeZkNEwiK zo$d!`K=IR7VEIQB1M>YU!<%&G=DqmHRMdu=PPx(7BmFx{7$CxrAUc%&ku6b4t+1xe zkN{6W9$8HBf~;Jyt4KJuDl}w$Z!5o1nN=SB4SC4-7RLn~m8Ak7b9Hvxk?iUvO9IjH zlw#O(ayEJ(5*ZU$LLftYa$paVK;1Qc@bwp)&MW>bc8yV)*Hc)62H!Pkj~TY&;+XlX znzMoMFE%t}Bj|(DNn*@|E@N_aZhzmBYre(70s-+#uI?((3AK#ggqjOql%IvyoU=OB z)(u~LdBVL(IIC_rH;k#%rifoxC@KtK!UYA#;u`USpbuX36@b83XYlSPgheytF##n8 zwQ0k8@O{q0C32ysb}~*%?{uDCrH0goDP+~OkVr&#dE^`$fQiGu*Jviu+n;5gCIl^p4SnqpC=Bk#OivNlSOQq&^xVM3RXJ3CxVV1rILy7DqxT zqdK09rrGwmR;lkrh=Eq68G5i#HO4jBOp*4_Dvy^>6o)#N-%sP&q&b!et*ES1QQ6c7 zOti-uar9@6B3_p*F8Upwe1K_GzRF;ZuCoVV%Mt4sewMT))A|^eXVW3vw;^Nw43c?3 zxteI~9a~5UC@u2$nw8Cf14sbsf|=cXTdP%=Z2_- zv#IdHx)2D-=c-66d9AFLt)FXr4M?;(0V6eV&y0ljlQi6nVUV|LQd2?~=Q0!(T@{;4 z=Epm|cF$)kR^sDg^`P~{%E`pxC@}&JUqf3E698aGL$ej0`%upR4B%NRW{p8Q5b^{~ptKA3 zOmhOO7r->Clpmmx$U^l--Pbm1unKkb*mEp?3#Ew zVTNwjWi~ozw)nkQVskZ^z}!UK(! zrvhY_iO|KCqOD&5De73f^tmyt7t{;ciCQSjw+nqXncQK$P7(!gs!;A|M{TVu`02;F zW@4P+K0E81+jUGbEI?aI_O-xcLEw?hJ^1j4)vk#8rKU8qX|+C{+peLTyv*Ayz&W~H zq=}woBBt;l!mPQPFGNJfdf3?tc;GTk0HIx#M?H3={ln=3dTZhO$iU#O3rI9+owTmC zw-AUJoyaZmTL6_RrC^ ztHD4k;zy`DAW&zaC*#hZi@=}GZ_r@xD+bF1iUyq8iQ@~5*5Y^GEt%pK_TxPyTEW0L zWRy{@YU$-cUHG{y{L^&+lCg8~PPx`D=uk6#;nrH5BiSKM428R#s7$*HttYWRRV`F^ zsc7o6DFfb(lU5oYVA*Q82qrmtBbvR&xq zhzp8>l{=V_UaHxbgmW06&%;>rPu-e*)i;FJ8WGm7@dKB7ghH40skg`cB3-XhKaG@i zd)w)s2mMYZ#fYXH%{8=}((H{QhV<*g2T^LU?U>p>Vq8(OHg(^cite$@(%+|@s1S9Y zb&sK#l{@Jy1a@)jBQ^+#&1WDt$NJvfwn$;Gn;Pwxj9hnP=lgKqWq^xF-A)2uYDzhQ zlWlu>TI<6ZX9s;s^=IZu4DjCE=T z1SKPdzZ$H|IN|l)$oGRtj97w{w+;6nez)ucIS-_1+k|{CC?dD~_wb9i-Z^TUjR~b1 zffs%`AL6{^vNlajyWP~2MoaZ#>AD`>S>K!38-~*x@%67i5m9f+t&%+2S$k+R9=k!{ z!;2VMOCN#VGrQa1t|+uW-sz#er_dUEDF4oDiT^*;TViAW9k1(1%CWl|Z!ZFWVR*>k z)(W?BF^0h^wZ-h~Loc^KBq=i4!5UwMpE7S5G}ZM~a&xiUp|_>?cY7DN5n1yB_zn3Z zmY&-2O7+}g=A{(1@29LS7`D$tb!4@w(-Tv;*7~x-N&G`Tp)6k=57iF2J@CclViLkr z;Xs3zu|%o;0+^n=qZ;Vb5Kh!ee1$E((uE!gGwouU*>|qh6;ql^(^NHDeG*`St%FY2 zmmUckOB3#BWMB5mVhf_HhSv1@jO3EacMAT(@ckvG&@a|)CEUdYT&PLx z!xf3$Gp8dp2h_1}#m%|VbMTHd*?50kQtX|*m2g;f*yCqDBcj@=ohA(L6z#y9=4^+W znc*ba9x@_p+@Ux*rHXWwW6K+kjE-gN)^Rr4oo1oX^jwM1ySn%wMiH9z13xhszEOX_9~V3W1o~bXdi~x=MFF# zB<%a$ifV!y_<&RoZ&p55heX13*opMcF`W^SPo8&{{XX7P3P^u`CF+Av+;sFnebKR9W2w}lNhQ1mXG$6!A0h5|~t_o}=+ckddOz>+l>-&`a+lO`0{w!9U%{wi+ z04EA-3XMPXoK>Un3$?w0NM%4Kz3h7^viWraZ(-@3B>ZtU!s=3wJb(=*2T^*$1tb$C zIF@0_Eb76@!VN3i(;D+~k}i7uU`R~&kupjdRwv*hKi<7Y`1x=$|M_ra*+N%BmCZ$; zPin!Ae0tHPi}VQ$GOO>0w{-g-Xczg7t%k)h3Qw-++Gne8XqWa+t73{>FjNCe3en1? zoRaOS=43VJ$(FRv-7*H?Of<_ngun0O-RQb5Gg|eRn3BTUgZ;V+IN>KRM^l}OKu(p4 zzQHuxof>x@AfhXU0j&9pS_!n-Wpg&~Z%GX1LE5Q-g^6IW_kCs7Fb;Ss zm4F>AIZeY!JsIAQN5LPq%guY<_uoyKYJc<6;{m~PFtSNkEQPi;gfDW(wxdz!Y2T!! z8D7-2w69P@^0ANTrY;-%gR5TQNH>-Q)^XQEV!TZ^n|Mta_*=jCT1I(m0)!3bOSCGX zb*FN8IVL!Qm>KzK?N~U+(kFn@%4K()Vi>jLQfxW|>pN((157Ta7U;HibTT7hu8dM5 zP6Q&r5;YC}w*-04>ZSTr>!YX_+n(E#nzOM%GfFfoc}k6NO)JQ z9pN;SXw1GX(!ZWPA9Io<7gCUfrB%uvD!&EgRQ9Xw?Adn(^%YNzh(t$*cq}kWc1~_1 zc6|TF)>?F%uQbLo!1D=IVQ3wtXacucBv(})VuATZ z1ZiHNntZ3xz1&t^%{KR;2OB#ZjKB#|(@YAn;5gN8=@xMG;%Ietuf`VU?1ZG_b53}M z<5HPSk#Q_4RmG3LdtTnjcZVHBQKfdKi#_5~*A#wG3|opvEy}9kP_48?`8_KdR6yUS zi)VcsjZj>F*gVbctz)|y|1X?SOz}31pyHd~Yhen=Xaw(qhK(pA^U1nuNbFGl5@fgZ zdn0NhZ;N}qGpu0npoFm>s`So)C%1r4H*2?a^i1$DC{g*j0hB1S)O}{m5)DB}!_kG5 z`DaMsM@(`e7)MQN(gXbi%lk#i*iBN8GutC(!(A$tV;{_}x7MZcI=u(W_Z=}oEmFK`j%wV5>9x~C5KkBRciRxG+7o#x5_0No~J$IGoUdS(K zjAW=<95#F5H~j_~gvpHUEy)i*q+l<6A(BOOBzL=M{LT6Hqh|Z6R zm|4!B_D2?5{jL!8CgUxbRXg~&u<_fk_Q(o~X-+%C^%i*Ig_2!RYUu6)`0x6Ap(i-mevKtTWWPjdQx(mhbgD08y5 zu0|`i`fkj&80QIZT3y`cOWfl24P@IcSK$57D&ue1k(G*z1)bP;x6Rt5+dOTf$MAU| z8)Y$w#0k)v)Rc@dG`QSC>p(e2>!0VH{33pv+8|6GgE7GAua>0lr|ET5#y25NJF~7W zDT~=Ctk>s3c0$5nN_hLSL^8doa*L~e;Owg42#%C&X{qacScaDfq95Y^hQz|^FBKtI zAU77@K-t~=RQTPyz)HD;0+)WnVw-ntwF{XyY_<0#BwmyOg9*cV^}~F8J9UJ{S(0Xk z^oxIkiA*&WIkeIk`8lE#w43#b%zf$U^?VN9Fe4{1mJ?%kcAhTjZvg{U|HR z2UmF7N1&Vk%MMJTzzb@gzJvv2FyZQO%q|Q>ye1;h8j2^^Gcg0_sml6Y{xqD9QYbpWCIvx*byz zp>ip?v{I2T71vmw^&}ZMa;u?2F}}+I3}yMmtf#Bk$a*%uwl!E4{W~Gc*s_06^)*X>8Zy8dPbwd~V0NXN(^UM{eD1Y)%2`LEfD>jD*ZEhiZI!7+R=!c~&(BA<;8^>?s7)w9m=XRQf2DEn3!ydJ$BdQl*5yItIPw;#Bgu)hIsU(Iq6p|X4 z*6g1EWvMx7HRhHy+=72t9=$~_VFBn)8sHkfUN_Y>M<$EaOZ-*?@4nA7SOKuCe?pW6 z;g(8?y_}Xb7S=XNbH>1U%a{DtK5sbZ<0IHd zyrsiW=7c}H2@m<2KA+-9uDBzfZg;a&tHnc%K@v_&jQ&nu!Q5gYON`n_i#XOhR_dW_b)WO`h#7$T-R6hA=AY z9H%>ox$$Nu*x6G=wgc=QyvLYOg>W*GjbSYOC(@pU{P6XPKP%^61?VW=m}uGS3U+nN z`=+bE-cDyC_YT;AMK$tR=*lTT3ec$Gz(0wdh>2YNO#}c2SzEP2|tho z&4w>UV4NRv67c9;ZNsj<27-P5%IyJEE1Z6=V&u|{y>kb9+a^z2Ba(6C&LA%!dXpZL ztHta%Xkq?8bOiKqzF6u(^f=7yJ~EOudAv*PB|P7@#u6kknFoaX-OFURW){ypT8DUv zMs#naRtpZmq$pozu$T%x9jc7JEXJ50E}Qk-whra?-*CUGZelrz9|CUNu{q&j@%y)O zR1Y{Qatwnobh~@r|9Z<0nO8_M!I&**7G%5(e)H@MxO6M4w5up;iGmj6`Mm-o3wP1Y z<*JCPgh!7u;^*B!K3A6k-W7J#n4tAB+pA#cz=Qs|Xe6QsP<#?gI1Y;RF zrDf^bqhD^i7qo870YjE{NbtQIV6jqxa1JIH{i*F>qrm6vjt{-rO+_fyOCZjsn{{ie zsK`qCX|dO_{Tz(0;i4hSa);1Z-tYXPVe#x|zzxc<(tfQs`Sk;^BE&ar-b3 z4Qu}TQXR6B6-c79vw6h8%<`Lq{7m?Q-9XI4@GO0!hOg1t)+BZK2sQo+RU#lsOX<|0?Qu@glPPsq8 zr?7*S4*Kfg2FJ>gx)dq@VGHS&-gGUvaZ2YN$1P^Sjb?2nGJ=aX8lif;k=>auJ!UI! z&iT4ir53r`U0?YAhlbPZYVA(Ojt-(|yrnRk%hZUvN@}MNkj33Tk^h#CZY4GNjoe{w z07JJj$n-53h-mmZ)bJg_8!XKHLF|~08tmA&dK7dY7h@LkH%}&Uc)Jnn@H)>(coxP75^ma;zqc<_yl6@oQ(XHf4?xUM$=n=hZV&<>>cJlD!uR7 zS7+gyhS1zbv-5Y6BTzWRsWw&~4D!dvi5&KY>zsr!%?Ua{?59M2{=+^`O+a&tDZY}e z+?NrAoP0=3Rw?K-o)6Ta$2WotEx4TE$M|D$!rCB>=^L2LKvv1&Xwm}TnEtV?{xm*f zKqSGJb^H~7VnPs8<6l4RYP17w6gwWbJP@YLCYgT1Ca4Hn{|G0g+-(IZ%>xSFN-2X( zJ+Qji(R@7M5+-dZ8hhY7FnP*A6BOHwmNUUrlJ+-a!KMOD1C>*<52LEW`hd)yKy3k? zj)JDvjRCdcy%ro1>P z8s8(>Bnu(Q>O{c5up8$I|LMhy8aKrU1gQTX8tWp)N>R+*M$RTS!YD&{%rVk0P>&e; z2Iv^b$7Z%uGp!P`!KK|-D~QtkYRsIRYV-O1q8aWTflWe)xf<3-UOvUMQDVSi)zKZGpmi)=nDuZ*5HBqcbAtX(_5tL;g%6 z-{kI#;agWIGYK!|SGs$a9QWFq*3?If(VGcawHPO9pxNa%EOPWqZJLu#jzKl8#zm{k zAOrPA+Ik9WhuwWdW932!EdP6^KP;=IdNDif@ap!9B@G;zu;|<~5@@0GJZq>*gd@yd z7_zLuTgWt4JEJMDNOQN5CHcwiC zfBBrd`{X0lT{H+-lP)g$80G>Xe>Ze^4_)&A_%7;NE}iGU9$JBO`e(_9&^3Sf+L1Fr z`m)`~L_l>|YKB($jHymT_i2m~*@_e{FjFt!&dmYl3Ny3cK2nZ-VGs<1_7ZTv28C%z zYSW|$(dH9WR-3&?4}`74m9o7Y)M#{SpHM@)zT)ZSjo7vxKJdk_tM?m=y=wK3tQq6c zr^@s6HbjUNnnK&~1#A~Ftoo1`?Y<7;|7JFGB335gCG^ENJ=vHsPY4|y;VLNv7pD-B z`je!{Xdnnp&L_Wui2eDJBVomM;}uy&y*m|^QEMr?Cb@qRgam1JRGcVvj2D;bmd+sFi6qhGvHGKrj%Hx($ceYNU+L zi4`U4NH1?5rBZl`RsBeqLN)7djy*0KbHzQ9_h4rSxn&{2VILzMuWN22FIYUp9YhcEJV`n@pF?wD_*3(#LfgRpX$TA z%`_~T0LYhkg6fMMUP0*qh=N+5Zf(t1#m=R!tL%nW6x~qUbcXXMUYS3BVfcc|@7UH+$;_Dc zrLZN1MS_cs^FhEQo!jE2RspK*BgzY>N(cR7Br!^}clY>KDt){6^Ly z$z?KbCQSZ-s-}Ic2bD0$PhO5ei1+3`|Ih<$siZ}X{{fz*OFidmR>glqaFPu8?dT28hD2dN~rWnO~$xk$uhC=Om`U{eb4 z5o*73+}AqGi#D?ms=<3DdCRV}mGaFbAE1pty*h|IddVIrer5>VRc0hWqeEVn&gaB( z*0OcDD%2hAwS=PN_PM2#Xv5^VqWggzqIZq@y-aP%W3^nI3-qc+>l@?p)rJQ zbQ-MB428v#MQv(e`39do-1yOh|3pB$ITH={$jwuI`a(^RchA;O2|z)QFBU~6qAetB zd>Ed;4cD}6Tg^-f^rtR;3b&J62uRO?|pf5SC9(xvY_0w84tJbWC6WO(XZ#_FmVVCHq4G zk>)&|JVqimR-DHjgrR;mNH#(9V?D2erH9G^*tGy z>#S=ITn9pE<}!(9)6D&kJUmA6f7yTNUDQkw2gqGyjHJDtRuK#Zl1Q#JF@lEU#|^sc zxK!nVBZ_iV=~ePIR{Y}X{>Cc%9yMBKi&V%iz`VJ%EH4WBExB63xii_EVH^>0*>JPG zF$H7?mbY*#>}U7qH0Pr_F)@d>|c}BNw17i`Mu31u94}kA5G2r0@KZMZf6F3H84W4&oP)ZGE zRO*+fnk3}VDwxDRm^g@%7Qs=x93&K;M`miXDUbh_&2m-EJzofAtM+&MNY2`eP2i5pqz^^mLJ6}z?CLW zOFQ2|NUunoDjZ&fC$JqRCo-q#B!*SEhWQXFE$s8ag+ge)oQYF4?$tw|O`9ndul%u6 zQFtn9K4Kh!bJy3gfJsjq%i;ECmb7b18!z(*Rk&z}%-ad4;(7(?!WZbSeex)wMP!t; zSHs$%OjHO$sw0AJMKX;>8aqpp+v?>%h}Kuze2ys6b4t^x0oq^mJaxPW0+xQ_S-O)D z>#A(YmjRq@)*IvRG+cF9dpl($We;r|OYyc$K+#P6_g?;9on@yvExfDG4Cri5n*$tG zV5GCrXyd1ZVw7>8-EpU?Y~WW>D}(F|XP-)R#?5R91Fx0kY8|L+H=eh}v1Amy695@4 z8(;{{%Atd@9|6(1tdXDN-Oaf-4b2Ds=a*LBvz-q9I^QLKMg{^RriSLu0n!~!yN8`vuip^af2B?0 zX^#Xh>4TYP9$CdA0|^`scXah2;eaVKhe|^@-6X&!}o_Ka@cX(hqvu9_aLTDaI{!QP_Vxl^CEaXEaI)@q^43Z z8z}7069*wo31;n9E13NNxc}?osbflEF_ZC+Gm!z3zIy!Z5a@$i_b)9D%5o7~`}<&` zco>DZ-Svohrk6Bj+mig=&ug%I~blP z@qiXL1Rv^-<@~D7ENXJA&HPa3D$Db<{t%61jWP5jSy?0erg_!Y?P69}edBkgo z2nC8z$}AlD4rz`ow1c4Dsja-rG=K(2(J5Z#vzq4;5@>z0hBq)NvW{H}?%5xQZTA@Z zl1aeAb^o*j?8Ts2nRjh{0n2o=f3Tp}S~0~D&6GhcA+!9o(k|r8n&uwEV6S7Jb&$HpW1bV=$n?j21`1l92d@gV+$Q zLFYTwyXcMWz^0AvS>=>xyZ;H=_S+`hq7zB&-XkGJ@IyElcpv%46@VkF5uu*by+62W z%wjsWHK5iPE;BRrcHolfwlDJxC`sr}5;LgAlP%0n2gw#c(T?JG?Em`$dn5ivlY3c( ztaiYpCH{~0u<&pxzuKB=fCJop1e7mU#n?+?iK)9dfkI0beGXLqkOdRE@*H--a;e0|D)gRqG z8ujlvAwhpd-t{Qv)fVR-ts1ZKZI-maMx@sA=cqk{sp#=|ZR4NbpO%qp7wM2{pC2qO z8*vN-bFf@laz$#hoCgrsBS(l7XhJfhI)r%X4ITzp`sS@ZP3dAa(WgXww67Vs{nD2d zBa)Kb1(@mrL!rc{-Zjk9qzHY6CBm6@S@vdOhG>QS5Tp8;8=-cox8fN#NRKAD5N7Gf z>K4H|2YYJH;PNR~C9z8Gvu-{`?yY6NZ$q@f5qPZ(P!{)miklo3Ub!K*p=+2oL(U~U zZFjR0%R^snQwgmY#>@fe~JN0>HN(dZ5c)NQ43B?!$j2MW`FMTb5x41F4Z6z zt%=|Q9SN;4fcVEP;`#q(0)wRZ)UXhYP0=AQ7TTb4;=xv0R!k5Ql!<@28NaMXp9f@rD+|p}qV(y>*vUK`f(|51$?p}H1ENQhaPlus5 zW=(S1ED7li)Gyw(1SJB(hNdD_G9V_Ym^ybkF1KP@&6}qTVB=k7Om&hvd*9PNPI;e# z#!0!5?^1b$-qLX<S#=y;?LthsR+WrGa3E~=B`A5(?r75GFbrAPC6fO^QzMW4xU7nW($Ozzdw8Mf{^gt0*&125LJkA;bSQc~C-x2qIfquwW|6S6>~x z=aZ(A)4-Ex#qPR*NCjs>LOTX8g4tkkMDgz7G~bt+3Hb?~O`F3l-0K$vwob2$Fd8S- zrEJlQ`1G1iCpU0#r)_Cv*Q&Na4pjq|(tR3!;wYNnSga_$mW^Vf#yB_V4;sFN3bjMI z*^c=M;I7Y2FOXzysTVu#a();UF&EcEzT)xaT$~v!?K9%TaUYq%s-wO)ciGw`#z9(Y zsEO1Q^y*jFB52;us22OXi3kIQpkA3@l0^C(D?w;$aW&;3h=H%WLG zA^;pkctZ2~wiQW(D<-(3zs>|iiHlxmpavxDnC_bM=tbSgPT*io!A9vL z%aR888pX010UvH|t+G4Y`miKAfr^s-{rElYFO#_M*9QlEfbi-@)Vw>XWfxhaPD#PJ z1}ea=v)4}}X&XJ1SDZufkAx0f2AToFX8JQRU2Xs>)Jy@7U9!GJ0C8sgvvHb?MA`JN z5a^4Qn9F#*&WJ@c-ThsL>Icn{Ys@)uA;~3)&bKzFg7Jd)+OkrtWWRh9{MMp5IU7C| z88CG{CO<%g{%gT%v6XIlh=Ha{4cxhn-x2hFcsg8FF!|qeKk{c57z-saPvl|?Mvczk z9=DsO!fvyd4bejGM@$%1P+temV5~EaKf&ZQB9NA->=Nr@76*QbXnPi8jugxpW z;o@W)RJ+C5Hbx&Qpyysoz4T3YmeEC7L)lPzxDe9F@WHezwDLS9H(;tlF`WP8k&MQi zZph7W+wber`iYb$p5MbP`Asb$MJ36z*x3KAnVBYuxHmz)KuImujZ|}`5u_|?VmNU{ zKUxRtHC}OXpj1cdq3kd0%IN~M`yD-15CcqZz>bPMJK&t7?p~kAV{-ops65;I+4B?A z!{>{wuQcp$e&od%>=2#o+XA zC9<+e`ZUB7rq}b3GvbG+N$Y)0EjeNM*DIsd=GY(bH^dsYqI}nbyzWlGKvjsyjBJ`; z0e}aM!J?^VwXK$n1%FsI5SwsZa$DJT%iVD`0{7H}XM;DJTGM>KH9?y4?FVjJP%#fy zC^LAuFnbtMD{bl}!gcd!uY!*y_z>xwOrRLSTF8-vn*Agl^=+&UD045Yr zN~7VRCEIB`Y>mC9;1X#~vwOtAk&bX~`jR3$ggEG&Qmt5p^2YKLhcF__KFz@mmvaGW zpQoHv|3ibAWAKcOe1Z?F71A)@eB1@<)UGfBXT4nMikeDMyNe+C7i1_vvXu~+Z&wLb z*CGa2g^zI4DTiun#J>2s-1WUGyZYe=d+igD zH1Ke^BIfXzj+9}!BBrP8=?BU2y}ofg@Cg-JId!NASj7chV1eIb*N_y-vN&*0K?Yas z`fE(D%XA#^0B1+y|5Om#c#r`N_JdGJa#vg+z}Rp*Gz+3Eus9)_m|6p)+}5$^JnwYZ z#T(msnf)XQv>Ycev%wUM6LGMg;m_qFBCy-!X^06mUlTR6)X@?&8e@y}Gh1*nI_x_n zMB*5)f{h`+@zBi?dHMxw3oZ+odDP$APvC6(w=nVe0~8JGY$I-w!%OyKv{!4T=<-YY z3WA80iW}LFYDT~vHK~`017-vjX?$z->6I0>#oeRKy;82gBaHk&g}%St;iXq;RA9tGaXdsLo$q;p{730=E=`7o&3dE#DaZJv4jn3r3 z&1b%&NI>PcPcr%xvObawbb*L@u!f%wR{|*$y03IH#YfRkxzTLOG&1ZjXY5bnSvyia zCA1kzGmNJ5)Hhbr=b^`yT<}1x@^q~cbf&dYVBNSmx+QL+2X8Ck63M*_(0_O7{0AL55y zGzF@ipvqcN@C!R4ocfo{&@O+LL;c5@0jYbrF~&Q(rb6|Q&oV=TbI9L%fxdI&!#7Tv z3kFB?!7zXVtjfgII&5Fk(i{Y`1J*S?8P*7`m^1ZjEShq)O!A*Xkcct;Bn-{m&9Wkn zyOmrZ-S`*HkkVC}J6l!@reDiS{qw!|=;za#Ua3wQg4CrA6T`jdc{6jc|0j|@dXBbb z^W#=0_>e3x@`zOpZI@zh8=(#7x0WDMhNRyIA69`x*X(kyN{b^NYnbk&=V#u+`4H%O zEe;SxbJOiFNb^ksO!YhL&AS*x!WJT1r#^zkWadu&r<04w=!; zcEvdhN{W4V!$EQjd_2y@3zy1{Wimr?AVLXs;PiPwXqc{^QC-OyC`GtHnj%F!+h|`* zF!cm32JOVmLR2n@6HdTM4h49ycTs22Yjh9t96y^NIOE}rJBX2-X*+_#0B3E4zXm)j!mtJU;-h?73z@(scNPsH3e&$jc8<|hq zni)q0=BO!AYARpba$ZJa( zyc$fAt5xU~=-o{{#}#*K%}f^2Br(SJ@xhDWVwg0QbU)qe22n)K)p8m7-=WEC(7m$T z54DXg2?b5*eZ(x%uCOtIt8w17g6vuAdRfDA*(#w7hw-g{*#)hAY8%|qKIKe-PT#ae zS@VEPhf!0Hw9N!kAK_oK8Lk!dkO)pp7r>wWVgoP{m|g)GoO9kT{NZq$E{xrH;3$G=ze}8`KFb~WE!n*} zR+AyZ`Rj0Y3z}}$0X)I}lafH_X8F9PmajaUQT+9b8ZI>e?^%M1pp7`Qx8xEEX+%n* zUF@CT$d431(?1?R3pfWiWc0T)_#!1BgWYSs508GvrMh56VKT)BJp^!ZnIZixvUC=a zD{%JOx8mPa4tg)9&M+W_H%k%^?0=r4HszjCw|`eJMV{2-vtxiam9I($C_F4ycZI`T z67>vP43CfWMm7g0aB!l_4?H$Dy}ed_nQzUb+Mi^Ph#6v1Hfa@1MLH(|%+qXt#VHJe zdv@#$(l-3VYMWhvZf{9n90e}JB$3XS#1dk^pOJ9kMgFM5{o=OGdWH>@A_eCU^s(p)@1Cl6ZXg&cM&Ek5L6Q&gElVh*A_c6-2>`g%|q; zhBE8o%ot(14%fd;B} zcpLmm4cEgLYC3_>D-y1S;v&t8U-7FQqeHV*Vd%RcdVQm%R{Z^=6Xusdz_`H8PvLj^ z#L+RnH*tPgYuHFeIID3gBNlf-!sQ?(T?3dT=JS!JR?IZ)sA#~YKF8R$sXp59@cQQf zjr(3p-tbRL9Ish>awQWO+Zz+vzFaxtu@15lR$bX91q|O+dRI=$tC(t0yg*7{geSv8 zRsM6(5A99wdzz86Y{dL7W0d}-<;gpPpBinsqZg)-M4Yc*8s%8Y2KntILWa!I@&{58 zor}U@p~BUEW#gD6;&UG?)0^vNllh>{c5FHJ^o(+rOTo#`uS7qA+qg zec5||+q`E2hH&AA*VS3c9UW;&oPDZ9w+;07ch-Ig*P}@iz~a#HSjR6~fRMPdOB$e( zhFM4dd;%9MaO?hEp#+(ESqda2UvS#6ZNghu91G5}qImT%57X5%@B#Xb(=)TT-b+oo z%E^?75!P_BpHZ&Z+&MuQ--n4aQTtl&1zBd;Wq3$7`YLDx#=(^OBE-^}-8LL9E7cqT>nE|w1Y~inBMnFIlLtq@r_03d+iApzJ9iOi zZIR`tPQTM!yhR{T<~4qvL?4%W)mJ?>qDI4q+Ya>XOM}lbe?YD|&%qX#Ny@;1oQqTy z9699ATV-2F&y$)Uh}z3LjE3xxF%=ubIs!hTg*E3&L9|1#j}1zQo;Br0l4e^1y^mP$?VM{6oQ*TBx`Fbh7wtJu75m^su|6kd^) z6~?kTpR-6_d|aUSqnBFq*Xq2TyH=|ToK-SxB6@(~^CY9b3f$Ywfw}C2O&*(K>u<#2 z+WG@X-{^yTmt`eRzWkZ5rh5;1&NJBQjAU(}k+NG!>(+Wag{O8-opqeamN*&j^yR_x z)b8I&(Tw35Zfb|p$HzW|7l%zrT?!W=;MMarMoYh+EF!J=B!qZ!Jer6ZBvWB>NpwV#|Y+0@NV{yL6{bOtQ{s? zg4*sQX;;>G_)?V9`Bd~-OyvU(hmlfaxj%^E&@7uVVpiC4fVZ3QffQ=R804Hld;PwcWwajUZevG2Liswq?zOY-M`)w~cKcLNAC^8fTqqEGbQ~+mgW;LqPLY;w2IdW*< z7xeV4$XYl^{#dMOqekPJaxCrPm|TfSc`s~^{U_%2fxJzFcpV1=;U5I&9e|X-heFvn znEh#-(Rb^24x8&%wTKt0)2Q2?%&`okM?VYg9?U^PeRfh}rqOXM5rGZbISzBI_GsxM z$VCaY?nGUecXal1AIEG(wc__`Q*5}%&@M`=X1=@Xg|aa0rr0}~q2SH>0_DR_<3YxM za$e)AY8K774}}I64&lkCi5xd6>2rz^0lQU{DszOn3&v;5DO^cqO|VdgfajwFMDI{t z>(Ux}lLlaM1rx+q7+)v>{W|Y$HI4?sr#-T6Ow2>*aX{Lj!=dbjgLJ9@HE6;UOS{xg zJgpMwb-B%{vaa11k79wE&cUdjny(=YMzAqgaMx{87rJmu>XCtnuidlEkbnEGNl3e3 zOKMy_o`>$0v6b~H1Jc*w2n2a|fFv?=zgG6K((@B|xR#>E)H6M^)^)f(nD*Eb`E1M! zP8-Uv)sX1DVSJQ49rpvpfJ`h63oM0&Ba8p4^fP|a?6~|?K{EI$y7;jZ7<^$PJpycZ~S; zl;-zi_ZiMZtKRpp668U&lEDkY5`QTBm1&v@4p)wj8GX7yO`3jlOrDed*8y=oa~6!c ztT?O!X{H@p)^S6PgAkcQflIze*oBJpNd=m7_0Y@a+Ip3topS03;@48;YaQ z%~3F|4phy=qr>W`8fx2>`XC^{x1YVnU9f5o?9o{={RE@)rYa@L)~ZoFYo)hy>_A?H zCXN)}kf>_Emg;Ae9JK~p5@AZG2Z<;=iDhB!EpzDN@*_jFTu>GHCg7W6JKl|=cl6#r) zHqO+%yVPOtSgP(9qsR%7Q0_X_=FoM>Ja3upMSiK7Rl+S5hYU=#-$M`UnXGH}rDAZk znoc|AN*%qnGc)j9_3m{AhFB~!*nNdRALG6UgWaY-rCoQt*F~|hy$;__aX__;kVI?Y z{OTGY*59S;I0J3TbMwQv@$K5igMPE3S3TmK;a|G3{V(ub9s@nGlY8*5;(J1h!?LFV z5?brj=qH(-KzLtBxiL^YzPE_cMww;(EjwyDBjG6a$*Nd)lmIS^nM4@}myx1!maxbK` zq!?HtXX1jR-Z!*Z+muPA_Mx=wHX*$!4I9vr)m71=Oo7JmJmWF>p(3PgOi;dBI* z=5zr4METaUk$lKSDTe3kbT&~!c~})Tc<4K)g)73BjNcE-a!G0m;&xLfJ|Z4wG?EP} zZcmO{gfHC6i?!_n);JPRx~~Gt6KS|bB-9_CN7;vf=M8?o6qMGL7_9z}IJ6MUm_34g zZv>H8uqimAsTD01aa6fQBb!}yg;P_sSsMx~2&LK2NyV1DoP)zpU}t}Ifgu1X#nb4( zgaU*!Sua(%SLuaC~eU(gZ%paF>1Fl;%zeq)zyLVMIKK*l~WnudkQI z0qoUG3<%nCUu;|J5WX!`+B^q0|RM$9Xi?y^`!A1y5gu3{lBUe z1}`pfsVBA*?Q@m%r1iC-mX-ROA)d6;3-1g^EO%-DHwjDFs{Bq1HPAAAT)ez3$zDhY zngd-zff_(sjtF!PNCRv{t8BnHG&Ohb$dEta+ROs{eIPm z=Ks|HcLC@0yfU}vp{@`Y!@oQ)RyYfghd~msSK>{5k|OB4^!dHKy{k*>7eGKcFt6M? zPHUo{_a+iUCvE1fG>jN8a)wrV^?QY+rRzJ_uJ_jP#lZ_-S)x?aX^7D1(s**`){;ZG z18d#HwK(K1Gnb*49RY#b)@A|hd%LvTnEZ?+Bi2B+UlUY#; zN`tvFDHlv#r=^_Mk9#cP;MWk3V2tDsdSQ%In$mCt|5v|L zmka|*ptOL~+LN970Dop)2pjb-b2H^8L$!xoH7AP&G)BssI&nADQpF;!ro?6wpJAMJ zm*w(Zc@X3Dpfw|h-2!`$r1+4@SA&fRM!#)dvD4%dNmt64Su@JV*+xM87GZ@m1w)A9 zv~gem<4MbJ1RJ5YLT6>`FU(Xw)CP>dwk%H2-!g!-nnCAbc6TnIHa-&$A=SZsuqgN? z9M|nyIH|>l0@ljsdkP8peItfMAclrh^AyzonT9nw6m9u+;c5Z@Fo57fV(?cjozu|~ zm0fE3fDOV)fp{TuWfg-ukW9x+r9oq$5rc_++w}cbd?zDsc>&P2B_elNa?@G9V~_DdD^etOwW2pJ;&iQ3a$jRk~v!1_O%D=!NuLHS-nUXZM#lLx@Fx&6V=xk8}Brxos0lttA zaRR~48IUQLgiBZ-_1HH1p1_Na0&I%+U8#s)G{XuB8U3xil4ROWV>N>VCr=(kY#KG2 zI;&kudn8W|FZE;4F9*3{@@4C0&}-0#>LZqU{YrkS4~F;)gwUoHtJbStau`EG=G-E%)Fj!xvu|HJd*ysWjram>GHUh6M zrnXjyg(7dt5gIRij`7c7DT@*Td$j)pBlg5h9DLv3`u{u&Xz+h<^et-?N>|;MAF}C^ z?Rye!i3hVYy%SP1Cq)PsrV3(Jf=a2jLlN5#GuMk@l^EZ_gTE8C;l<^o7OCg zE+XsS6PQE+SreSdQK(su4bUlB?A-NsW_2=@_cEj;F*W=^84QmWFTgK0=S{p%Vi~>R zIdOzmU=~F^FXiRs(?+KGzBcmrUsTkIkwtOoXuXsRXc1$NN$ZHzb%9XC1hZ*hQm4yz z6;y^1)h;hcVb{@!;|pgDc)3cmb$FSWcpxBJFbTP@dd3#L#Lm2bqjKmsp(^k| z*fwBbD4Q=!nAv3022!U@LK1^V5obuA-(JjlU6%K2cNi;c6FR4&GtVA|gO_&a(oi09 zDhe7s{u7hn%8;WXM%9R}%Nh!6#~3E}t;0v8^%V+Bs|XCD@|Q6}h7TN0DYnY8>I0G) zh%6Crr^OiKr@t&$;lsx_^^9bHy4^~=(00e~OOa9lEyUw{@tp9uP(=!6J*fUFPaiRo z^pK6G_TKl}hlTu*w!Re$3991%rj}5KaxgB^1|n!g1(M;+SvV_a$aj;Lf^e^(8Rg%)CW*5$kQ4Fmt)C-b*}3DO$%M|v$BuskPTm9(-|Y2umJ-&!fR7-$N3;P z2y$+bxYNzWbjehrmjnuGXChwvy8g!9g-Cs%%HP#gYcgrMA)3WQBxn^SXLcpH2%`*n zt=LeNruNonL7&?uvosLMmNBVME_=VEHyT3!%D8LE{fSMXBI|fVrl9;2tR~?utTDIW!KY&(j(IgWmgGro|d_qtx)2 z(0Z6u>o=FnqDBFuK`YPE9sk)q5eI!Pv`v}vtiT6XdZD?;3^SqZ<-;nl|H4&Kj6o9( zqrGjFy(0#ROu1a1X*%Sr*oFt5q)u;9v04Vma2H3!cCjb}SU+?6qyFJAJ{ezL>_tqK z+o)vi={Qj@Hw^<=R^bM_y$&HWT9m7}OyJ)D)l&x28)8$Ith{l#c4R?beiOTM(K$UK z-*{Kg%)Z7!25z-|MWeR?{#-&Kw64BT3W4Ss@bq|bU~_+q$rZyY{A-esDEcRuFtpbKw#&@`^SfCR23Jn6%5C^8&_}Mx5PWeZAPjf z+E&LBU3TUx5uMzNK- zg`CU!=jp8LjR6-BR6lI^3lxZrO{4Eq==bLoE^FeukeFMaBIT)9H0O)$E~ zrS&c3gnpj<7m~tEDluj4W1v4a_<^XPC&!{sy$yja$|Xr5cNt96^l|I}ASQugL>$BB zTt2H~#@Jd^hdsJ+WeZZx>$m@LWoq?JR&%YBkwKkIZNatB64>12pOtt*SJ2q+n3{8n zyrjz}{&1(*b`~hNxN*l;b`PUSG;rOiOnMGMF-vYMh3`d`cQ^q9_u%SDce+BhH z8egupg0@DEd4E@C+Bm|^(UWi=5bZ6;dQz_g`gM8%f`TuSJ)`hwBu}Qq?*!8 z@a!y={wvyx8BrcdbyKW|nKV|+>j~*Px=|3@N61a0PqZXzLyGO9POjLrkXDTH!$_o{ z)^S)9;(ByHOpW#iBzMFJT8wxF!B_?5J0cqEhvkzEsrB{%aV*zg$^~%+lb`jzRS5Un z7_uKzHHDHRhB@a!%LeXk&%A4(PP7XuR5wAk?-=qZ2qQLO6dJSF&Ru&YlYlSJLjiH)!iKq2zXoTyu0zY=q^9ATe*e2e88_C%5aLLjKI{Y7nH?e(}|4W9EajSBtH5|(WA5~5t<(w}RJC^bP( z1g!pjRt~1ZI_rGRyRqx2X38QM@d?46BVsru-3{jSQ6CKQFk5$n6$=t0RIHVuobDmy zay7r)8&xyA^9QP(7j8hmN-==|tHDt>)I9mUpuG<$gfOFqzbI9v#! zHSStYA6){%bN~@X=#UMbneEoA2R%A~)Y6B3nYGQi%Em8>ul&)QdjwsC5DGOmuuRj8 z07rkX=snK48CO@6jY z`i?X{KHw3lG5IQ{O9&PvL^Z~;tz>aB%+QR5Jll`8uT<~(L9US17EmRLzjJLYJfkmw zd_ATb>Q_6;R9?|qC^4v!ImxLS(rJxwK}_RmBTZ=Tp`_Pc#4FRq#Dur;5HQhPZ)s8efHnp0g+g|wa05cxrbuQ=Zn|E-4% zF)^QaA&J&kIl9UTR}_MfFFy&fJ4pDY{CA=}bJC)H;zrgeM`}sxO<{P69tEIb8eUsf z3xEy78r$xz(SB+NI4BGmnPew!ZgLS+Gw>r?L4!eod`JdZ%noeHqR#6aQ*ZP^d-FnP zmDqg=HS$!4G*2Nm@|Qvx#uiK^UA|?Nt7S7puuV{g1Yy(VSfY4z+ScER#bBoYR-#n< z5nxzmM!emZ6CWI-lZP_&))!;Au65cm|3c18yH=2=pOU^sC)v|D2pyZHqEayoS6R1L z;k$gxvO=|E{d@G&PtTz$VD?*)EINUMu|w$4x3a%hogS{h zo6TDi!&H5WD+w9=F3#7|_l%qH(P@A2jhyVa3;kqtdL6?b#il}#%n)lzy{1ge34@Ox zp2GYVa_l6IsSJFww0D#KoOPFHQi(d9>;-}i%>h^=FsP%W9GR|dBXEH*{|mAoe#Ty8 zSthdWnE)yH-~pSrlIln4kEjLnqJjS%@di$sF^+ zW*`$cXy_gm9PE?^#{o{zZI761g{~;0=g(it_Fk*q3v;lBE);JI=n9}m)qgAr8GYh{ zuP-q|=i-J4jq};2KePgCZ@7o~0M-#_RP66ouUMS%Hq(Qg{AvWkX;+7Q4^ZMhdQ0o&0+j=lPnMMX__dWs)vo zY9HuZQlPuA+gsSWNoj?#Yl7i<_i{h^{5D^sZFh=6t*oUO%vK0dks9%G5G3;@ZXys}X5UT<&-&GVHYE z@c>iy?oF|%`-Gh==yv8+KnsgC=87=?#Cc#?eUwK~J*}|KR|ZV6pZ>zy?!iGmDeTh8 z#oFAO>bXS9e1uTTup*muJ84sDI4==;+l>d{LwS+64?cfTWSY2X4DH+mChkDUReg+l zgIr^2>uE}*qU(cDyn&&};A@t*jm!Ph)8fT~+_MmzZ*tqGidw!sxR|KDuEO7Wi=TIB zF?c-YuSvmmWa~-TUNcC{R+Si3pbZ0Xwr#}2OY6)T-`VkyVR+;2zX4 z5{`w=A3uOkkBK38_wF$(bPSe#i{ItkBCva^_X>ie`AxaFBqcshnr;X#y%qhfo@v#R z$8AGh3{Ck{NTMFAM+Yl=xJc=|M>q_-&L_szM7h-LN^bOKU!>vatX#6EW6Bv3U!Ops zMeA5MFyxB$q_n~LX-X8iB`__bIp1umU6r46`q2Inq;KaKjehZFr7_l+Ch7l4!pl(J zbpK}^W~#-2W+HjbQrnGguAK_-FfWD|s*fpS-zYyeAuEtsLhx6e??Ur~Pz5IC>5ZH( z`PBu7?P})K&6%$)Eb9qn-6Mk10B`YdrL4u01I$yN1E`IX-Hsp?JKt?+1jF*CBowES zv7!0ad%X-nFho&O@dV`@vDf7C=|-Btyjd$$FB5YFFFbG${YscEL7I$kx1t7dX+~uH zT6q?kpAMXAUlSus6Z;L@Q=_T2ZGEeerWG~Y1`)-5=Y5F)16c|Kf70|=7BoX$uL3(I zhau&giyICd@3wE9@^H&T9w=$}z;uV>bRkgi!Oa(d{(-_tn;J!E@pv;Tcdema#oEfx z$&DEfGLrk&>3W)`DaqWxvP^kwrHBNNz*5oK?Q)Jo*_Bzl%Nf}HAT?Ur%j|f4p>dH} z>;HUJ2{ggVMnR6Urt@OQ#!0mTMEQ4xtBYUeoCl6zd*=pI9{IFc^J29RfnR}CQM_kZ zKY=MH9cF+&B1K+mby6M|qO2O#vu9B(dwT3G5NcYAjL zVaXvpi4W00p-0da(%*?<78&OO`FRXXSqa3D%Lr3lP#SUMjBqx4&fK&-r@ys1L4X^X z)9P^bNN*(LoTte4x1vc%9@tn}c#4NdJ#{D~3F@{zgeWAq5O%;b6zhRQ{zTa*NU<{LLc#f22!k;G-cQHVgD4SRuX>MDYWKH_Vo4BtNG(1uH`rL}kZ# z4&GV3y3$+pneT5Pw!xu}+M~McX;!P5hmb}Gu*I>;fW?xuDmlPdj*d|Irf?zx zXgBMQ+sB+?wSkMnIac???+s{Zl3ch(Xf8LbJbX|9cr4O`;c9iM^@`ilziOpx`Gc9| z)O&ggxU>SK2#9;tTdBPvLwH21R?#%V4fK87w2Xe7Seu8CQ>ZWrJ2n$kyx#Jo)c}`h`kx~+kgKDoN1Jlcu-3p`3v-6 zcJUIcd4)Q-J#X#8>vq@vjQJrwnh0u7w6x*Gs8ilk?)3V1^M)pnob(;WzKK$*Mc`iibt44w}`P}%R|3&np&f;{kTPnt~~IJ?L;fm!(u(fpJUBb_ zC(EXm#%Zb+?;{A}w(lWwXNEzxs3<#SAIsPV*@Xy=eamhv!thR9#)zbR zdB|3NXhS~D8*ALOOR!!k;gRu&_Y<~~v-kb-IyUKRyfN}tD8!`5(LdbhbT+V<8v zI$Yqjvi3ZnnFhe_{&nji=50y+Du!%r{Y*aQ!;Zb>5a)c9*+=`?Lq6i?Z+Gg(-A9S> zG>-h5VNJZl#!b^g-D*2lg?@>5lYjk`&SeE@%T24k*IA_x5uSSxGhJdG+lAR@>16D1 zzeOWZ?)ZLr@~ZS)O1vn6DTS(4csoe1^4{wWiIKQz^{g9@G8d)AQwZB))jK>TYR`C) ztHq!lN#lnp(B~LVQ{XU0Twp*1cs(tP$mn9;W&FbnlIT?Eb<+X%Bva!p;5=zE(SG#m zrn>`Cl1DUhWFsLdM6oBVD@>P3Az4{l!#a*MIO%ZY4_ffK=CbMZA)B<$%FPU^ur%YG zB`#29YEUT2>qT)>(*{nkKuORF#q`Hlp-Xbc*;ad>0$ggYH4v^a7vc+oh8t{Y*tE|g%yWGg`PSWrS6SL@Mk1rP@5T)Z zs*7C_?@nIydyQJjp?>Phe=S9JzX-mdf;NLb?+uWg6(#KHa~PmW-P#gLvz z;<}r_lY`qL15le_Iq2D29qND2%xw#4LrKmGi9ain91JdkJb4Gb||6rY<^w>Uk1;Yz|~?!*9AP1mWQbWQ>c}8$oFGDF-Z+2zVSWj7oO$_ zS5(>y({HmaN4>m;HNaW=n^(PaD!Zy^zo8^lkv9N1mo^nBb}=)gS=y4odx>w8v?uXW&3;Rx6^P4IutBF`-ZnXO~z#*Prbjn&8J?&YAR*Fu@1V zn)lb9TF^xNRp}O0Y<~E%`Z7z81Jk2wT6AMS6h2&YerdXlDNIw-1K7*r8^J5NA@I;V zcymoTw)9z}qGj2y?qK>cl1HV%pq50YD5x{(a9YHoMBF; z!OQkWGen-%iVNqj#fo2YkF60HYHw>NPuMC`XjQbj?ECOi(AYYr`J$ZImOU$<2VcRW z(;)r)x$4{sI5W+V`uOE0_L(T*-e5W2-bOg!e1jQz$7kj?jl*=O8nU4M1qoZRXhjT+@X_(ol8zB+tS6!($P z1A<)D1J|F$dohx?xgh9QGh2N!{jA;&u?ocINx#vW?9;4nPG{{& zsBlJ4hu}1d4!{@M0&i9Ctxc*{rhgsXH@=YJMu?W1-w_4zhz0tHS`3kv)Kt?wEPv25 zD@h6$0)vWtJPQqMd4;btAfC7AS(oU&9eeJXaDzpOAW2GX^uso*6V96LK$TO2U+CU* z?n7+K%F=b&ESGnBN+OG>5+mY>eB|lQQP)+P>U7@Snp2TyQyPurk22aDGVE1E=@SP= zUf9d?FfuD{6ku@?+Gx?b81t{Z3VGKkEwgX~*1A=xk=z8Y;# z)wESX{BxXVGBZewRQdPrdDT7ml@6-riPm`}+Ua2+V!Z{wk5y5bwMlN+z((gK2Od^> z?k#xF3r&Rx?sWh9qzA7MepgFKq`!Xuid}m%Gu=CJzubHE=n^$45?EzN;oX?Npkqs}N1fo#IPx*N3 zf=7iaUZnjkI6M}M9!hnFcPjOqSA%kzP zho}MrukzW;NGx#JLG3T=U0SEyK*<)sCqujX`~=J!!+_rx?;`ffzjxg>VcHKa;gsg+ z)&}NNQN~y~+7oBKJ*h`axqxS!B(juqluQbqXn1=;rsKX*r~ z|BS7>=qg~wY5o;u^Rp;oIdSt(?z@V`zi}9F2&vyZISX~F~yk=J0ogudE{M6aDZMbtny?>3BGOq@+Kc` z7sRx|29IsX%fk^tZsS6b>gAq!EAOGWqmD;ep-(Z1+=0m!`$wCq(_A~n-%CYJ`?2Ec z9Ag1^U7` z>EnV~Op5K|Uy5GlH@UpVC?;KxQf*3#;!R1j?2Kxt^Qsziy~5_uX zlJyrMue&qD@~S@&!#rBxYm3n|wy zn_wIRU1&e`giwpV=UH?YqBF_izluXdaDv0O@SL+-7TG%p`dozwqlRnJ9-Z~W829mc*x-E9lXxv^h zBhT0hJSn25+8He#vVdY_w>);#KV0&+h#m-3x{*FSTAiu&d&T1d!{o9bdcHjW&~U9;hl!Zvb9L^YW>T1KHN>ANJCR!SrhME+;LkC_8*LvpVF;sjxkG@ zh%uzt%N^2?xa!oZMZ)R$b@c;~IDX)&lGM#_Me)e`R```yR$>)1(DxwC7|jHVH}q2l zyMI{-D1kPfGjxI{&+xwEZl``Z@5q#NaM$$7T-ykO<&$flwQLgckTOqF&#crx`!+-R zFN96{sQfnUk=HCuQPdd{U^K(Lwr+5Dd|cNYZr!5;^EKF6SYe+6X4zB5B-)hx zTm|lt1qJ@Ucr<`6a+G^dit4N;OKL-oa@1r=j}!S8P>(&nLb&)y1bd_YgpNZa9wYH# zI}ZrRe!>f2JT6C0zo7~8>EEv+;fioO%^LT}6_}FCr;zj6W00JFv%AlLf3irli{EK@ z$AlNwNVXKk1o)@n;|l7r!38H^a)dt3S~s;_Ys3HmmRKNwjQ^ABv9`r0Sbq;EAJ^0L z4guzdCNnR|`6R7*3G}Dg{vqf9kJI#_c`Ipxth8SN@1u=dnD){Ywr}5MN z`Y+z+|37fD_ZsPgsg6t0k&mZj!Po;S0TKXh*+3HvJk-nDND`y#?qn!?T}JAre4qgY ZBc%t`MQbZu4?tK2N}KtZoBFt@{2vyFGRgn| diff --git a/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.tcl b/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.tcl deleted file mode 100644 index 899a723..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.tcl +++ /dev/null @@ -1,120 +0,0 @@ -# -# Synthesis run script generated by Vivado -# - -set TIME_start [clock seconds] -namespace eval ::optrace { - variable script "C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.tcl" - variable category "vivado_synth" -} - -# Try to connect to running dispatch if we haven't done so already. -# This code assumes that the Tcl interpreter is not using threads, -# since the ::dispatch::connected variable isn't mutex protected. -if {![info exists ::dispatch::connected]} { - namespace eval ::dispatch { - variable connected false - if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { - set result "true" - if {[catch { - if {[lsearch -exact [package names] DispatchTcl] < 0} { - set result [load librdi_cd_clienttcl[info sharedlibextension]] - } - if {$result eq "false"} { - puts "WARNING: Could not load dispatch client library" - } - set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] - if { $connect_id eq "" } { - puts "WARNING: Could not initialize dispatch client" - } else { - puts "INFO: Dispatch client connection id - $connect_id" - set connected true - } - } catch_res]} { - puts "WARNING: failed to connect to dispatch server - $catch_res" - } - } - } -} -if {$::dispatch::connected} { - # Remove the dummy proc if it exists. - if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { - rename ::OPTRACE "" - } - proc ::OPTRACE { task action {tags {} } } { - ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category - } - # dispatch is generic. We specifically want to attach logging. - ::vitis_log::connect_client -} else { - # Add dummy proc if it doesn't exist. - if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { - proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { - # Do nothing - } - } -} - -proc create_report { reportName command } { - set status "." - append status $reportName ".fail" - if { [file exists $status] } { - eval file delete [glob $status] - } - send_msg_id runtcl-4 info "Executing : $command" - set retval [eval catch { $command } msg] - if { $retval != 0 } { - set fp [open $status w] - close $fp - send_msg_id runtcl-5 warning "$msg" - } -} -OPTRACE "synth_1" START { ROLLUP_AUTO } -OPTRACE "Creating in-memory project" START { } -create_project -in_memory -part xc7a35tcpg236-1 - -set_param project.singleFileAddWarning.threshold 0 -set_param project.compositeFile.enableAutoGeneration 0 -set_param synth.vivado.isSynthRun true -set_property webtalk.parent_dir C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.cache/wt [current_project] -set_property parent.project_path C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.xpr [current_project] -set_property default_lib xil_defaultlib [current_project] -set_property target_language VHDL [current_project] -set_property board_part_repo_paths {C:/Users/robin/AppData/Roaming/Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store} [current_project] -set_property board_part digilentinc.com:basys3:part0:1.2 [current_project] -set_property ip_output_repo c:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.cache/ip [current_project] -set_property ip_cache_permissions {read write} [current_project] -OPTRACE "Creating in-memory project" END { } -OPTRACE "Adding files" START { } -read_vhdl -library xil_defaultlib C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sources_1/new/design.vhd -OPTRACE "Adding files" END { } -# Mark all dcp files as not used in implementation to prevent them from being -# stitched into the results of this synthesis run. Any black boxes in the -# design are intentionally left as such for best results. Dcp files will be -# stitched into the design at a later time, either when this synthesis run is -# opened, or when it is stitched into a dependent implementation run. -foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { - set_property used_in_implementation false $dcp -} -set_param ips.enableIPCacheLiteLoad 1 -close [open __synthesis_is_running__ w] - -OPTRACE "synth_design" START { } -synth_design -top DataMemory -part xc7a35tcpg236-1 -OPTRACE "synth_design" END { } -if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { - send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" -} - - -OPTRACE "write_checkpoint" START { CHECKPOINT } -# disable binary constraint mode for synth run checkpoints -set_param constraints.enableBinaryConstraints false -write_checkpoint -force -noxdef DataMemory.dcp -OPTRACE "write_checkpoint" END { } -OPTRACE "synth reports" START { REPORT } -create_report "synth_1_synth_report_utilization_0" "report_utilization -file DataMemory_utilization_synth.rpt -pb DataMemory_utilization_synth.pb" -OPTRACE "synth reports" END { } -file delete __synthesis_is_running__ -close [open __synthesis_is_complete__ w] -OPTRACE "synth_1" END { } diff --git a/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.vds b/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.vds deleted file mode 100644 index a18acf6..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.vds +++ /dev/null @@ -1,191 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2022.2 (64-bit) -# SW Build 3671981 on Fri Oct 14 05:00:03 MDT 2022 -# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022 -# Start of session at: Sun Oct 1 13:21:12 2023 -# Process ID: 14348 -# Current directory: C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.runs/synth_1 -# Command line: vivado.exe -log DataMemory.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source DataMemory.tcl -# Log file: C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.vds -# Journal file: C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.runs/synth_1\vivado.jou -# Running On: ASUS_Robin, OS: Windows, CPU Frequency: 2096 MHz, CPU Physical cores: 8, Host memory: 16576 MB -#----------------------------------------------------------- -source DataMemory.tcl -notrace -create_project: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 393.844 ; gain = 60.699 -Command: synth_design -top DataMemory -part xc7a35tcpg236-1 -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t' -INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes. -INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes -INFO: [Synth 8-7075] Helper process launched with PID 3816 -INFO: [Synth 8-11241] undeclared symbol 'REGCCE', assumed default net type 'wire' [C:/Xilinx/Vivado/2022.2/data/verilog/src/unimacro/BRAM_SINGLE_MACRO.v:2170] ---------------------------------------------------------------------------------- -Starting Synthesize : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 834.848 ; gain = 414.324 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'DataMemory' [C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sources_1/new/design.vhd:15] -INFO: [Synth 8-256] done synthesizing module 'DataMemory' (0#1) [C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sources_1/new/design.vhd:15] ---------------------------------------------------------------------------------- -Finished Synthesize : Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 968.109 ; gain = 547.586 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 968.109 ; gain = 547.586 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7a35tcpg236-1 ---------------------------------------------------------------------------------- -INFO: [Device 21-403] Loading part xc7a35tcpg236-1 -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 968.109 ; gain = 547.586 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 968.109 ; gain = 547.586 ---------------------------------------------------------------------------------- -No constraint files found. ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : -+---Registers : - 8 Bit Registers := 257 -+---Muxes : - 2 Input 1 Bit Muxes := 256 ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 90 (col length:60) -BRAMs: 100 (col length: RAMB18 60 RAMB36 30) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- -No constraint files found. ---------------------------------------------------------------------------------- -Start Cross Boundary and Area Optimization ---------------------------------------------------------------------------------- -WARNING: [Synth 8-7080] Parallel synthesis criteria is not met ---------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 1160.242 ; gain = 739.719 ---------------------------------------------------------------------------------- -No constraint files found. ---------------------------------------------------------------------------------- -Start Timing Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:32 . Memory (MB): peak = 1169.125 ; gain = 748.602 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:23 ; elapsed = 00:00:32 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Rebuilding User Hierarchy ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Ports ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Nets ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+------+------+ -| |Cell |Count | -+------+------+------+ -|1 |BUFG | 1| -|2 |LUT2 | 51| -|3 |LUT4 | 30| -|4 |LUT6 | 800| -|5 |MUXF7 | 272| -|6 |MUXF8 | 136| -|7 |FDCE | 2048| -|8 |FDRE | 8| -|9 |IBUF | 19| -|10 |OBUF | 8| -+------+------+------+ - -Report Instance Areas: -+------+---------+-------+------+ -| |Instance |Module |Cells | -+------+---------+-------+------+ -|1 |top | | 3373| -+------+---------+-------+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 1 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 -Synthesis Optimization Complete : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 -INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.029 . Memory (MB): peak = 1181.184 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 408 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -WARNING: [Netlist 29-101] Netlist 'DataMemory' is not ideal for floorplanning, since the cellview 'DataMemory' contains a large number of primitives. Please consider enabling hierarchy in synthesis if you want to do floorplanning. -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1237.270 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Synth Design complete, checksum: f642343e -INFO: [Common 17-83] Releasing license: Synthesis -15 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:00:32 ; elapsed = 00:00:42 . Memory (MB): peak = 1237.270 ; gain = 840.602 -INFO: [Common 17-1381] The checkpoint 'C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.dcp' has been generated. -INFO: [runtcl-4] Executing : report_utilization -file DataMemory_utilization_synth.rpt -pb DataMemory_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Sun Oct 1 13:22:06 2023... diff --git a/data_memory/data_memory/data_memory.runs/synth_1/DataMemory_utilization_synth.pb b/data_memory/data_memory/data_memory.runs/synth_1/DataMemory_utilization_synth.pb deleted file mode 100644 index 06f48210aee66d2ab50a8a7233974220b3c5c247..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 276 zcmd;LGcqtT(leUGtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zPEUa(14FM7h`b9Vw>T{|2C>&#FfcUO{{@l^ zPDd?)DjgtF#rGn diff --git a/data_memory/data_memory/data_memory.runs/synth_1/DataMemory_utilization_synth.rpt b/data_memory/data_memory/data_memory.runs/synth_1/DataMemory_utilization_synth.rpt deleted file mode 100644 index a00b1c1..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/DataMemory_utilization_synth.rpt +++ /dev/null @@ -1,182 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2022.2 (win64) Build 3671981 Fri Oct 14 05:00:03 MDT 2022 -| Date : Sun Oct 1 13:22:06 2023 -| Host : ASUS_Robin running 64-bit major release (build 9200) -| Command : report_utilization -file DataMemory_utilization_synth.rpt -pb DataMemory_utilization_synth.pb -| Design : DataMemory -| Device : xc7a35tcpg236-1 -| Speed File : -1 -| Design State : Synthesized ---------------------------------------------------------------------------------------------------------------- - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Memory -3. DSP -4. IO and GT Specific -5. Clocking -6. Specific Feature -7. Primitives -8. Black Boxes -9. Instantiated Netlists - -1. Slice Logic --------------- - -+-------------------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-------------------------+------+-------+------------+-----------+-------+ -| Slice LUTs* | 842 | 0 | 0 | 20800 | 4.05 | -| LUT as Logic | 842 | 0 | 0 | 20800 | 4.05 | -| LUT as Memory | 0 | 0 | 0 | 9600 | 0.00 | -| Slice Registers | 2056 | 0 | 0 | 41600 | 4.94 | -| Register as Flip Flop | 2056 | 0 | 0 | 41600 | 4.94 | -| Register as Latch | 0 | 0 | 0 | 41600 | 0.00 | -| F7 Muxes | 272 | 0 | 0 | 16300 | 1.67 | -| F8 Muxes | 136 | 0 | 0 | 8150 | 1.67 | -+-------------------------+------+-------+------------+-----------+-------+ -* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. -Warning! LUT value is adjusted to account for LUT combining. - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 2048 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 8 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Memory ---------- - -+----------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+----------------+------+-------+------------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 0 | 50 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 0 | 50 | 0.00 | -| RAMB18 | 0 | 0 | 0 | 100 | 0.00 | -+----------------+------+-------+------------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -3. DSP ------- - -+-----------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-----------+------+-------+------------+-----------+-------+ -| DSPs | 0 | 0 | 0 | 90 | 0.00 | -+-----------+------+-------+------------+-----------+-------+ - - -4. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-----------------------------+------+-------+------------+-----------+-------+ -| Bonded IOB | 27 | 0 | 0 | 106 | 25.47 | -| Bonded IPADs | 0 | 0 | 0 | 10 | 0.00 | -| Bonded OPADs | 0 | 0 | 0 | 4 | 0.00 | -| PHY_CONTROL | 0 | 0 | 0 | 5 | 0.00 | -| PHASER_REF | 0 | 0 | 0 | 5 | 0.00 | -| OUT_FIFO | 0 | 0 | 0 | 20 | 0.00 | -| IN_FIFO | 0 | 0 | 0 | 20 | 0.00 | -| IDELAYCTRL | 0 | 0 | 0 | 5 | 0.00 | -| IBUFDS | 0 | 0 | 0 | 104 | 0.00 | -| GTPE2_CHANNEL | 0 | 0 | 0 | 2 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 20 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 20 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 250 | 0.00 | -| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | -| ILOGIC | 0 | 0 | 0 | 106 | 0.00 | -| OLOGIC | 0 | 0 | 0 | 106 | 0.00 | -+-----------------------------+------+-------+------------+-----------+-------+ - - -5. Clocking ------------ - -+------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+------------+------+-------+------------+-----------+-------+ -| BUFGCTRL | 1 | 0 | 0 | 32 | 3.13 | -| BUFIO | 0 | 0 | 0 | 20 | 0.00 | -| MMCME2_ADV | 0 | 0 | 0 | 5 | 0.00 | -| PLLE2_ADV | 0 | 0 | 0 | 5 | 0.00 | -| BUFMRCE | 0 | 0 | 0 | 10 | 0.00 | -| BUFHCE | 0 | 0 | 0 | 72 | 0.00 | -| BUFR | 0 | 0 | 0 | 20 | 0.00 | -+------------+------+-------+------------+-----------+-------+ - - -6. Specific Feature -------------------- - -+-------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-------------+------+-------+------------+-----------+-------+ -| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | -| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | -| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+------------+-----------+-------+ - - -7. Primitives -------------- - -+----------+------+---------------------+ -| Ref Name | Used | Functional Category | -+----------+------+---------------------+ -| FDCE | 2048 | Flop & Latch | -| LUT6 | 800 | LUT | -| MUXF7 | 272 | MuxFx | -| MUXF8 | 136 | MuxFx | -| LUT2 | 51 | LUT | -| LUT4 | 30 | LUT | -| IBUF | 19 | IO | -| OBUF | 8 | IO | -| FDRE | 8 | Flop & Latch | -| BUFG | 1 | Clock | -+----------+------+---------------------+ - - -8. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -9. Instantiated Netlists ------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/data_memory/data_memory/data_memory.runs/synth_1/ISEWrap.js b/data_memory/data_memory/data_memory.runs/synth_1/ISEWrap.js deleted file mode 100644 index db0a510..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/ISEWrap.js +++ /dev/null @@ -1,269 +0,0 @@ -// -// Vivado(TM) -// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 -// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. -// - -// GLOBAL VARIABLES -var ISEShell = new ActiveXObject( "WScript.Shell" ); -var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); -var ISERunDir = ""; -var ISELogFile = "runme.log"; -var ISELogFileStr = null; -var ISELogEcho = true; -var ISEOldVersionWSH = false; - - - -// BOOTSTRAP -ISEInit(); - - - -// -// ISE FUNCTIONS -// -function ISEInit() { - - // 1. RUN DIR setup - var ISEScrFP = WScript.ScriptFullName; - var ISEScrN = WScript.ScriptName; - ISERunDir = - ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); - - // 2. LOG file setup - ISELogFileStr = ISEOpenFile( ISELogFile ); - - // 3. LOG echo? - var ISEScriptArgs = WScript.Arguments; - for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var wbemFlagReturnImmediately = 0x10; - var wbemFlagForwardOnly = 0x20; - var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); - var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); - var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); - var NOC = 0; - var NOLP = 0; - var TPM = 0; - var cpuInfos = new Enumerator(processor); - for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { - var cpuInfo = cpuInfos.item(); - NOC += cpuInfo.NumberOfCores; - NOLP += cpuInfo.NumberOfLogicalProcessors; - } - var csInfos = new Enumerator(computerSystem); - for(;!csInfos.atEnd(); csInfos.moveNext()) { - var csInfo = csInfos.item(); - TPM += csInfo.TotalPhysicalMemory; - } - - var ISEHOSTCORE = NOLP - var ISEMEMTOTAL = TPM - - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - ISELogFileStr.Close(); - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.Close(); -} - -function ISEOpenFile( ISEFilename ) { - - // This function has been updated to deal with a problem seen in CR #870871. - // In that case the user runs a script that runs impl_1, and then turns around - // and runs impl_1 -to_step write_bitstream. That second run takes place in - // the same directory, which means we may hit some of the same files, and in - // particular, we will open the runme.log file. Even though this script closes - // the file (now), we see cases where a subsequent attempt to open the file - // fails. Perhaps the OS is slow to release the lock, or the disk comes into - // play? In any case, we try to work around this by first waiting if the file - // is already there for an arbitrary 5 seconds. Then we use a try-catch block - // and try to open the file 10 times with a one second delay after each attempt. - // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. - // If there is an unrecognized exception when trying to open the file, we output - // an error message and write details to an exception.log file. - var ISEFullPath = ISERunDir + "/" + ISEFilename; - if (ISEFileSys.FileExists(ISEFullPath)) { - // File is already there. This could be a problem. Wait in case it is still in use. - WScript.Sleep(5000); - } - var i; - for (i = 0; i < 10; ++i) { - try { - return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); - } catch (exception) { - var error_code = exception.number & 0xFFFF; // The other bits are a facility code. - if (error_code == 52) { // 52 is bad file name or number. - // Wait a second and try again. - WScript.Sleep(1000); - continue; - } else { - WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - var exceptionFilePath = ISERunDir + "/exception.log"; - if (!ISEFileSys.FileExists(exceptionFilePath)) { - WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); - var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); - exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - exceptionFile.WriteLine("\tException name: " + exception.name); - exceptionFile.WriteLine("\tException error code: " + error_code); - exceptionFile.WriteLine("\tException message: " + exception.message); - exceptionFile.Close(); - } - throw exception; - } - } - } - // If we reached this point, we failed to open the file after 10 attempts. - // We need to error out. - WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); - WScript.Quit(1); -} diff --git a/data_memory/data_memory/data_memory.runs/synth_1/ISEWrap.sh b/data_memory/data_memory/data_memory.runs/synth_1/ISEWrap.sh deleted file mode 100644 index c2fbbb6..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/ISEWrap.sh +++ /dev/null @@ -1,84 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -# - -cmd_exists() -{ - command -v "$1" >/dev/null 2>&1 -} - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! - -HostNameFile=/proc/sys/kernel/hostname -if cmd_exists hostname -then -ISE_HOST=$(hostname) -elif cmd_exists uname -then -ISE_HOST=$(uname -n) -elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] -then -ISE_HOST=$(cat $HostNameFile) -elif [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi - -ISE_USER=$USER - -ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) -ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) - -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL - diff --git a/data_memory/data_memory/data_memory.runs/synth_1/__synthesis_is_complete__ b/data_memory/data_memory/data_memory.runs/synth_1/__synthesis_is_complete__ deleted file mode 100644 index e69de29..0000000 diff --git a/data_memory/data_memory/data_memory.runs/synth_1/gen_run.xml b/data_memory/data_memory/data_memory.runs/synth_1/gen_run.xml deleted file mode 100644 index 5288ffe..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/gen_run.xml +++ /dev/null @@ -1,44 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Vivado Synthesis Defaults - - - - diff --git a/data_memory/data_memory/data_memory.runs/synth_1/htr.txt b/data_memory/data_memory/data_memory.runs/synth_1/htr.txt deleted file mode 100644 index 7c9c555..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -REM -REM Vivado(TM) -REM htr.txt: a Vivado-generated description of how-to-repeat the -REM the basic steps of a run. Note that runme.bat/sh needs -REM to be invoked for Vivado to track run status. -REM Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -REM - -vivado -log DataMemory.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source DataMemory.tcl diff --git a/data_memory/data_memory/data_memory.runs/synth_1/project.wdf b/data_memory/data_memory/data_memory.runs/synth_1/project.wdf deleted file mode 100644 index 8cbc467..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/project.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:5648444c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 -5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3831653131636564363934333432643761343836353331303863373835353939:506172656e742050412070726f6a656374204944:00 -eof:2986823203 diff --git a/data_memory/data_memory/data_memory.runs/synth_1/rundef.js b/data_memory/data_memory/data_memory.runs/synth_1/rundef.js deleted file mode 100644 index 54c7c11..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/rundef.js +++ /dev/null @@ -1,36 +0,0 @@ -// -// Vivado(TM) -// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 -// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -// - -var WshShell = new ActiveXObject( "WScript.Shell" ); -var ProcEnv = WshShell.Environment( "Process" ); -var PathVal = ProcEnv("PATH"); -if ( PathVal.length == 0 ) { - PathVal = "C:/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2022.2/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2022.2/bin;"; -} else { - PathVal = "C:/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2022.2/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2022.2/bin;" + PathVal; -} - -ProcEnv("PATH") = PathVal; - -var RDScrFP = WScript.ScriptFullName; -var RDScrN = WScript.ScriptName; -var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); -var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; -eval( EAInclude(ISEJScriptLib) ); - - -ISEStep( "vivado", - "-log DataMemory.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source DataMemory.tcl" ); - - - -function EAInclude( EAInclFilename ) { - var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); - var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); - var EAIFContents = EAInclFile.ReadAll(); - EAInclFile.Close(); - return EAIFContents; -} diff --git a/data_memory/data_memory/data_memory.runs/synth_1/runme.bat b/data_memory/data_memory/data_memory.runs/synth_1/runme.bat deleted file mode 100644 index 4fd70df..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/runme.bat +++ /dev/null @@ -1,10 +0,0 @@ -@echo off - -rem Vivado (TM) -rem runme.bat: a Vivado-generated Script -rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. - - -set HD_SDIR=%~dp0 -cd /d "%HD_SDIR%" -cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/data_memory/data_memory/data_memory.runs/synth_1/runme.log b/data_memory/data_memory/data_memory.runs/synth_1/runme.log deleted file mode 100644 index 4891d1d..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/runme.log +++ /dev/null @@ -1,190 +0,0 @@ - -*** Running vivado - with args -log DataMemory.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source DataMemory.tcl - - - -****** Vivado v2022.2 (64-bit) - **** SW Build 3671981 on Fri Oct 14 05:00:03 MDT 2022 - **** IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022 - ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. - -source DataMemory.tcl -notrace -create_project: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 393.844 ; gain = 60.699 -Command: synth_design -top DataMemory -part xc7a35tcpg236-1 -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t' -INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 2 processes. -INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes -INFO: [Synth 8-7075] Helper process launched with PID 3816 -INFO: [Synth 8-11241] undeclared symbol 'REGCCE', assumed default net type 'wire' [C:/Xilinx/Vivado/2022.2/data/verilog/src/unimacro/BRAM_SINGLE_MACRO.v:2170] ---------------------------------------------------------------------------------- -Starting Synthesize : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 834.848 ; gain = 414.324 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'DataMemory' [C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sources_1/new/design.vhd:15] -INFO: [Synth 8-256] done synthesizing module 'DataMemory' (0#1) [C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sources_1/new/design.vhd:15] ---------------------------------------------------------------------------------- -Finished Synthesize : Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 968.109 ; gain = 547.586 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 968.109 ; gain = 547.586 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7a35tcpg236-1 ---------------------------------------------------------------------------------- -INFO: [Device 21-403] Loading part xc7a35tcpg236-1 -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 968.109 ; gain = 547.586 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 968.109 ; gain = 547.586 ---------------------------------------------------------------------------------- -No constraint files found. ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : -+---Registers : - 8 Bit Registers := 257 -+---Muxes : - 2 Input 1 Bit Muxes := 256 ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 90 (col length:60) -BRAMs: 100 (col length: RAMB18 60 RAMB36 30) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- -No constraint files found. ---------------------------------------------------------------------------------- -Start Cross Boundary and Area Optimization ---------------------------------------------------------------------------------- -WARNING: [Synth 8-7080] Parallel synthesis criteria is not met ---------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 1160.242 ; gain = 739.719 ---------------------------------------------------------------------------------- -No constraint files found. ---------------------------------------------------------------------------------- -Start Timing Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:32 . Memory (MB): peak = 1169.125 ; gain = 748.602 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:23 ; elapsed = 00:00:32 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Rebuilding User Hierarchy ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Ports ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Nets ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+------+------+ -| |Cell |Count | -+------+------+------+ -|1 |BUFG | 1| -|2 |LUT2 | 51| -|3 |LUT4 | 30| -|4 |LUT6 | 800| -|5 |MUXF7 | 272| -|6 |MUXF8 | 136| -|7 |FDCE | 2048| -|8 |FDRE | 8| -|9 |IBUF | 19| -|10 |OBUF | 8| -+------+------+------+ - -Report Instance Areas: -+------+---------+-------+------+ -| |Instance |Module |Cells | -+------+---------+-------+------+ -|1 |top | | 3373| -+------+---------+-------+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 1 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 -Synthesis Optimization Complete : Time (s): cpu = 00:00:29 ; elapsed = 00:00:39 . Memory (MB): peak = 1169.297 ; gain = 748.773 -INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.029 . Memory (MB): peak = 1181.184 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 408 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -WARNING: [Netlist 29-101] Netlist 'DataMemory' is not ideal for floorplanning, since the cellview 'DataMemory' contains a large number of primitives. Please consider enabling hierarchy in synthesis if you want to do floorplanning. -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1237.270 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Synth Design complete, checksum: f642343e -INFO: [Common 17-83] Releasing license: Synthesis -15 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:00:32 ; elapsed = 00:00:42 . Memory (MB): peak = 1237.270 ; gain = 840.602 -INFO: [Common 17-1381] The checkpoint 'C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.dcp' has been generated. -INFO: [runtcl-4] Executing : report_utilization -file DataMemory_utilization_synth.rpt -pb DataMemory_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Sun Oct 1 13:22:06 2023... diff --git a/data_memory/data_memory/data_memory.runs/synth_1/runme.sh b/data_memory/data_memory/data_memory.runs/synth_1/runme.sh deleted file mode 100644 index a313f10..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/runme.sh +++ /dev/null @@ -1,43 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# runme.sh: a Vivado-generated Runs Script for UNIX -# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -# - -echo "This script was generated under a different operating system." -echo "Please update the PATH and LD_LIBRARY_PATH variables below, before executing this script" -exit - -if [ -z "$PATH" ]; then - PATH=C:/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2022.2/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2022.2/bin -else - PATH=C:/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2022.2/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2022.2/bin:$PATH -fi -export PATH - -if [ -z "$LD_LIBRARY_PATH" ]; then - LD_LIBRARY_PATH= -else - LD_LIBRARY_PATH=:$LD_LIBRARY_PATH -fi -export LD_LIBRARY_PATH - -HD_PWD='C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.runs/synth_1' -cd "$HD_PWD" - -HD_LOG=runme.log -/bin/touch $HD_LOG - -ISEStep="./ISEWrap.sh" -EAStep() -{ - $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -EAStep vivado -log DataMemory.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source DataMemory.tcl diff --git a/data_memory/data_memory/data_memory.runs/synth_1/vivado.jou b/data_memory/data_memory/data_memory.runs/synth_1/vivado.jou deleted file mode 100644 index 64a38db..0000000 --- a/data_memory/data_memory/data_memory.runs/synth_1/vivado.jou +++ /dev/null @@ -1,13 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2022.2 (64-bit) -# SW Build 3671981 on Fri Oct 14 05:00:03 MDT 2022 -# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022 -# Start of session at: Sun Oct 1 13:21:12 2023 -# Process ID: 14348 -# Current directory: C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.runs/synth_1 -# Command line: vivado.exe -log DataMemory.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source DataMemory.tcl -# Log file: C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.runs/synth_1/DataMemory.vds -# Journal file: C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.runs/synth_1\vivado.jou -# Running On: ASUS_Robin, OS: Windows, CPU Frequency: 2096 MHz, CPU Physical cores: 8, Host memory: 16576 MB -#----------------------------------------------------------- -source DataMemory.tcl -notrace diff --git a/data_memory/data_memory/data_memory.runs/synth_1/vivado.pb b/data_memory/data_memory/data_memory.runs/synth_1/vivado.pb deleted file mode 100644 index 9fb5f8ed90892b05da68dbc91e73a66c9aa8a1c0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20370 zcmeHP>2KV|6%Tc+n}M6CXp=Z==a9NM4 zuZP!lRNds?(ag!&Nm5hh3!2sKS|)i8&1g1NUAoz`ty@%co0yzv({0r=+-CTNJcT|X zicR)c9eB2uarU$5gxruzN~Mxq|a>>q5ebayt})X_U8F1l6^FRHE@n5NiMZ5Qur zbycpqTCYRoT2abqd%9ATBpI5X+Mn{9ZlcGpyKu8^c5u82ro^OFX~eYHfV*WP#qa)= zfL^-by0qKl0$dAssEbu>=o&R0inlErZ^JNnHpS1+InQJ0Vi}IL=`LKs5AlX%@o4Bi zhaSGp`U*XD(p?~6_n@EYliap)r5;!DT>*&~E%)A3nx37MB{A}*53W)JRGQwO_HF*A zfG(|oBkJxB_-LD%o|@{G0Upl`79R%eIqbBGyXwB)^}5*FW)K0V)~Mr9r!+G?J5^DL zGCysp&VfT7LjuV%j8d$N^@J8Gdhv?tnc5C(V22t#YKL-tHG1lv$$CYh};WyQ*ee<%P8i zE1TCZU%hx`X>;X5YwcQTcSgt%K8vP^R2OF^(&rT6C1K-)0(d4BhRMj}zZTF0nDpfQ zG$RN$5%7+0i9NhTGP))&5zwwzl=k%O&7W{IORBNGI4(mT4Ud%8H9L|^l9$afdkK@+L^5SLP)SVrG^WAuH zBg2a&5oddGqo$N3k=+t_akWw}Rh8NhFTTT(hC-xmqqX9P{mIq9{uJNG`sq8ajj{-7<4=55|dSUOw(Weij@3nB7cz&6m+U56}3D<>vycESG~s ziN$9Y8ZVoW6?FOF4e^H2_w6gmz7h0v*ejNyHv3c52fjZy(WCJW__@lYRISe!{2Z2? zMvo59y9-1rRz#L~N7M8_`jGn@0ew%1pc^(iz!%0qW@*kSgYTdFhVUl>dh=)q*KSW-^x z4u0Un)XT{p$>{To)Kww(ZR2F8*jxe*IHW@_B*o34Po0CjW{q~h9*|}a7qF2Ouz*Sr zQ9*BvWt2+oyM-+N;T%Uqa`ZY6NE4p2zi(LdBSJ zfl#JcZMb5ickOG*-qq3RXi7;s!bi!wM{m|kNwbRRDL-QH>3NO%&yD!H2Wxot!4U5q zeQ)0K=dEb!yoGKiI^s0Z;`LRhi5nt5qrqZ|LCuc4)2xYS5nH){Gm@A*h2ijmq~My! zzsWT$!^P>xQpkX!XP40%A{{m(v?L7jkr~<1oAi|=QeH&oe5AB&%W?361uJy`5&n2{ z0Tw?K8PWsqFPG34z%Nw8phmQc<6zC!VOG>ttUK6*mF6yWX9|2(wvkvFRYdUq!hXSj zav%Lr{j)E9{hff`JxX8iX25b&S(25?%2G}$D@pLOgjCo)!SYL8Zj|a$BikXOMa0pY zaP%51C-GK7vp(K}wS)KrNVYW}pm02TYyQ3u65kilH=-#a!=(2J0Fn=YMoA*o7yv3t zsV0)_AsYiAmPITj0Z>Fw1^}Sij%mT0mjk?__Ij|8mTken&ZyznQ3^MK*6UpRZ1obt!uL|jw2UU@*JcCu9;Y&Io!`5~l{Kfy#1LCiJfcTk! zz7+>Vj%CMa7&#i!2~zG1v1-}wcy@q!c*qR-mp(B3R6uXV!H}AIxnajx7&#VJk{VRe zGd>nBLFFFQf_HGsb6l&7VS9wFZ+Y%GS7J%&5H$R)4-G#T(6^7!kZ;;C9+KWTf=nr0 z`I$R6z{OF%m|OguE>26{r(n6GOOr!GY4Z9;8V_V&do%_6tdq zo<)x#Uvs=*sM_lb78KqMttm{$hjT?%wio-vex4g*l(e)%d>CqjA!(xcFj}O*hxaV) z!`1DBNb`*!?dFOZzeNoLo<7wM%`<-VrmV$BFcNYOJrUTt?_ai^28cOiMUogFa$Vpb z)c3e~<{luXPYvHEa(rNbm_y0eW?_B#A}GawNI8Q)NDT4CTv@*X-?*6Sam2`xm`Wf< z7LTC~+zg*X&<09SnKp`e95Gd2%*y(!%XKb>)X6clVP&wO1{0%jF_K(6h8R}n3u2ZR zTT8rCM64*s5Tk@*)_gJW^EhG}zL?A4IKGLo)HsGVuv-c~hu4n897mgDfgxQ65yt2+ z3}RMqH#|;XO$PfKdN!#KU^6%n{?EZ_^nN)lF^n3KYKJTS_D>#1oV)-sK;nJSF^DB> zr@ikT{y*mPvRs#Q+~Yp#IQm3j@M1+?e)E5ylG;f~ZJ_0&6mUD-)5^EQiaCp(I6#Xn^Pu7tG{?UJyj;g$WD4=g1wHq%C#A`5XGain-E#c&T zzJrSt{!^dAKNip%LXO64n~p)Ipv{u)# zLp95615pdK2hZ<3{LARWhs`;>QIMsgl%#(6r~+KfVvt|} zCvv?+>RCp)H-O(k&t#)6*h2#?2NXGnH>N5@PF@q) z=ruG8nuUV^Y(AdGh>o^B!#MDji!)LYn2vbJ3V5;7YVsCHd=&00?bx&Ek+?pCNyp?2 zq9*25{sddeIXeJ*K#iqTfOZVnheC?8i0dqCdi{?8=?ly#U~xVN!Txl-MSBugKWkIj-&qpsp%cl diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/DataMemory_TB.tcl b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/DataMemory_TB.tcl deleted file mode 100644 index 1094e45..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/DataMemory_TB.tcl +++ /dev/null @@ -1,11 +0,0 @@ -set curr_wave [current_wave_config] -if { [string length $curr_wave] == 0 } { - if { [llength [get_objects]] > 0} { - add_wave / - set_property needs_save false [current_wave_config] - } else { - send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." - } -} - -run 1000ns diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/DataMemory_TB_behav.wdb b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/DataMemory_TB_behav.wdb deleted file mode 100644 index e69de29..0000000 diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/DataMemory_TB_vhdl.prj b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/DataMemory_TB_vhdl.prj deleted file mode 100644 index 881518f..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/DataMemory_TB_vhdl.prj +++ /dev/null @@ -1,7 +0,0 @@ -# compile vhdl design source files -vhdl xil_defaultlib \ -"../../../../data_memory.srcs/sources_1/new/design.vhd" \ -"../../../../data_memory.srcs/sim_1/new/testbench.vhd" \ - -# Do not sort compile order -nosort diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/compile.bat b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/compile.bat deleted file mode 100644 index 2f8f856..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/compile.bat +++ /dev/null @@ -1,26 +0,0 @@ -@echo off -REM **************************************************************************** -REM Vivado (TM) v2022.2 (64-bit) -REM -REM Filename : compile.bat -REM Simulator : Xilinx Vivado Simulator -REM Description : Script for compiling the simulation design source files -REM -REM Generated by Vivado on Sun Oct 01 13:58:36 +0200 2023 -REM SW Build 3671981 on Fri Oct 14 05:00:03 MDT 2022 -REM -REM IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022 -REM -REM usage: compile.bat -REM -REM **************************************************************************** -REM compile VHDL design sources -echo "xvhdl --incr --relax -prj DataMemory_TB_vhdl.prj" -call xvhdl --incr --relax -prj DataMemory_TB_vhdl.prj -log xvhdl.log -call type xvhdl.log > compile.log -if "%errorlevel%"=="1" goto END -if "%errorlevel%"=="0" goto SUCCESS -:END -exit 1 -:SUCCESS -exit 0 diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/compile.log b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/compile.log deleted file mode 100644 index 437c90f..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/compile.log +++ /dev/null @@ -1,4 +0,0 @@ -INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sources_1/new/design.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'DataMemory' -INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sim_1/new/testbench.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'DataMemory_TB' diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/elaborate.bat b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/elaborate.bat deleted file mode 100644 index 9ac3bc9..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/elaborate.bat +++ /dev/null @@ -1,25 +0,0 @@ -@echo off -REM **************************************************************************** -REM Vivado (TM) v2022.2 (64-bit) -REM -REM Filename : elaborate.bat -REM Simulator : Xilinx Vivado Simulator -REM Description : Script for elaborating the compiled design -REM -REM Generated by Vivado on Sun Oct 01 13:58:38 +0200 2023 -REM SW Build 3671981 on Fri Oct 14 05:00:03 MDT 2022 -REM -REM IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022 -REM -REM usage: elaborate.bat -REM -REM **************************************************************************** -REM elaborate design -echo "xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot DataMemory_TB_behav xil_defaultlib.DataMemory_TB -log elaborate.log" -call xelab --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot DataMemory_TB_behav xil_defaultlib.DataMemory_TB -log elaborate.log -if "%errorlevel%"=="0" goto SUCCESS -if "%errorlevel%"=="1" goto END -:END -exit 1 -:SUCCESS -exit 0 diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/elaborate.log b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/elaborate.log deleted file mode 100644 index b8f9748..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/elaborate.log +++ /dev/null @@ -1,18 +0,0 @@ -Vivado Simulator v2022.2 -Copyright 1986-1999, 2001-2022 Xilinx, Inc. All Rights Reserved. -Running: C:/Xilinx/Vivado/2022.2/bin/unwrapped/win64.o/xelab.exe --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot DataMemory_TB_behav xil_defaultlib.DataMemory_TB -log elaborate.log -Using 2 slave threads. -Starting static elaboration -Completed static elaboration -Starting simulation data flow analysis -Completed simulation data flow analysis -Time Resolution for simulation is 1ps -Compiling package std.standard -Compiling package std.textio -Compiling package ieee.std_logic_1164 -Compiling package ieee.std_logic_arith -Compiling package ieee.std_logic_unsigned -Compiling package ieee.numeric_std -Compiling architecture behavioral of entity xil_defaultlib.DataMemory [datamemory_default] -Compiling architecture behavioral of entity xil_defaultlib.datamemory_tb -Built simulation snapshot DataMemory_TB_behav diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/simulate.bat b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/simulate.bat deleted file mode 100644 index f79309f..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/simulate.bat +++ /dev/null @@ -1,25 +0,0 @@ -@echo off -REM **************************************************************************** -REM Vivado (TM) v2022.2 (64-bit) -REM -REM Filename : simulate.bat -REM Simulator : Xilinx Vivado Simulator -REM Description : Script for simulating the design by launching the simulator -REM -REM Generated by Vivado on Sun Oct 01 13:58:40 +0200 2023 -REM SW Build 3671981 on Fri Oct 14 05:00:03 MDT 2022 -REM -REM IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022 -REM -REM usage: simulate.bat -REM -REM **************************************************************************** -REM simulate design -echo "xsim DataMemory_TB_behav -key {Behavioral:sim_1:Functional:DataMemory_TB} -tclbatch DataMemory_TB.tcl -log simulate.log" -call xsim DataMemory_TB_behav -key {Behavioral:sim_1:Functional:DataMemory_TB} -tclbatch DataMemory_TB.tcl -log simulate.log -if "%errorlevel%"=="0" goto SUCCESS -if "%errorlevel%"=="1" goto END -:END -exit 1 -:SUCCESS -exit 0 diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/simulate.log b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/simulate.log deleted file mode 100644 index e69de29..0000000 diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xelab.pb b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xelab.pb deleted file mode 100644 index e9bfae55394a775b6c819387e5466fbf64693c69..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2046 zcmb7F-EPw`7+trC2@6ogkA~PLe8FHsYtsCzZFYfRg$pExP&W`_nkqMT8w=MCj?=YI zz%4gi@Jze}kAkzb#brbdSBV|xob#RU$3TEJ_6Mb zbcC>gC-c1xO!_Eg>TverZh?)FTX$W{b!U6?kDoStujU2KX0#HokJrCdR;sJu3s}44 zGpSC&^R|d5k`5vTouJjIIYAKYL$_XcY8g8GNEzkhefXM(7JR`N>||#X*dYm#BZ94~ z>?Y~=RdAz|a!&c+a2BrTd8US674WmtKD2WtI}hE?L$iC7+bO@0D2@rXFDP&LmaxZ! zp`Jy?1lDSlhf+T<>7@gxCNT{W(`%`ZjP-MjR1zBNLkUN5 z5(x!gB8860P{>L5^r+h-5gPsVr?qed)|eQ;OpTC85i46=H6%}m?+2zcr(pAaqHWQx zz=WX@fhv*&;k+cgX4CZO9;g(i*$+Gu_XupCC?r(|ohYPeIM+u7zpOXUcMb5Vx`UR9 z(gr(iF^m~e1Q)@$EwgNM7%ym;FvsA@A2E3`YY`A@n_-`c3y3&klY~x#_MLy|S}5YT zV9(IC6wz%4R}j76z?6u=?kOFTte^!;RVJ@5B%HQmwxsh$^?cueb2q`76DNiFZq!Yl zb8s_Lp0!h!^E?qPQ=YpA?#3wmj0QwojxC)%j*-Mg1PAqnK+cvEJO;N-0!79;-jeWm zIlK+FX7H2{q65HP-4QhGI!?nc$wQX&)WLQE50X?xC5fWtL>_opK$P-?4mc^B8?>Bj zAKYKy;^~k`ZJjo;WI#VE%ULjZhh!L0MM9;gAG{oKR1Yr(MIREbsG1Z{Jb0FM?l2#a z(`kBMQr*`Q>N?=rf2%VTsa{Df4D1}Glqs{z=Xb!gH{E8-?DZ$$&cfu+FNY#NFYwLY QzXiZ6j`fHMg~Zj$Z|cxxy#N3J diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/Compile_Options.txt b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/Compile_Options.txt deleted file mode 100644 index baafceb..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/Compile_Options.txt +++ /dev/null @@ -1 +0,0 @@ ---incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "secureip" --snapshot "DataMemory_TB_behav" "xil_defaultlib.DataMemory_TB" -log "elaborate.log" diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/TempBreakPointFile.txt b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/TempBreakPointFile.txt deleted file mode 100644 index fdbc612..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/TempBreakPointFile.txt +++ /dev/null @@ -1 +0,0 @@ -Breakpoint File Version 1.0 diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/obj/xsim_0.win64.obj b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/obj/xsim_0.win64.obj deleted file mode 100644 index 11989e3da065097e2aeda1575bd0b561bf7a972f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5716 zcmcIo4Qw0L9e@5v>q6V>SZNCsFp!QyyT~pbG@{#l#aUj4X)+O-Ze(0s=bD5%i4{B4 zFvOB2O>#ZlWE-MoVpXLfjY*r*Nhpdtwx(Z6fblVyx=w6T3ewinqS0v^Wo+|)|M%|B z=Oj$iq@Cn@zx%)6|MUIdyX2Y&7BIRVUdGt%0x?#R(X$x~({S<%#{3?}rmvL3Ef|8V zuBoCqo{3A5b8lSgKmj5wG*Pi5oi3Hmed-}zw$$L`pv_}1Qqfh)3xRhAeglfMOBqF2 zBvO$o<<&zT1?PapyISx<5w$_xrq*w3xS#i3TpKf{)XB(pzuK3r^K{?CO)X;9aYfH{eDY zJ+6$tTsi&3VRf&+ocEnv`=Iua+NeIHYA47`@k0J8QnL_q?s}-y6TRil7>k+P9IG+ToTCbvTGO7l)uRNXO3lZGS7OFT zmL95-0+SnmzR$QU$cC1ks-{k0QR8S2hN;1R+zYrS2Zw+ds+i-2JrhdJIb--q!5ASq zE@Sj5+@}T);7%Ictj=;{@DLukIoLykG59;PL5fEi74yoN-{=3==Ca+L%GgAq{1j$jQ%jk( zS+n7B7!i4$HboHYs2h&O}v)bHG38P7b zXS+|7eaoq0xz23QQBh~5pVH%I6@K@jhX-GvM`QR!45oN61`iV+p1@t!ZyX3+z0;{3 z1u-Xn6pR$n%)&r1cV(=$b!f3qip^nZEwjqpPaY^>QnLeo;)mEEsbYN95$rS06QXOl ztHn;q4y&;`%#JN;T*BIccbXgL#Qyj?HptxVF+%&pWABfz&D|ejZ}eWIXk*3+ZoF2L z%SOWQhu>7kaf+g3cDHZ#bU(n2#&QIGPn~)1_PzeVP=7h)6w5^+H77*CTuBl z`6I!tB_Vg9QO@_laQ<$ZmnXvb9}wL6c1WG_-Gy0{Z*pKFU=nNnhNMp5N%o{2Ql(Uw9aF_3c>fcW9PeSbcP~uN<9&nUJ@HB2W9DX2D;b4a z_)Y!}M`@Q-x-3J!EJL1gLMHOY`NNGO+za@N(prblf@QA*LY=b?6=MF#vRg~m;W&)g zyMxY3>lO87#IXcFFQbels`W6oOvoC6J|$2R=qeyT8w5gELgN{rdq7tU*~dUq!{=vgg^+avT_e!5Kr4a#?59B23fW0Vc2yZ;tA(r_=z4*^0Ca;u z^+3{c3($>1*6qj+I=q*GZW6QaI;i0ALU^ml*?WL)7Q6;W_Lzf?0No;H-vW}EFUH<1 z7qaVtq?Lz(B(D!h@_r7qM(`G)P?EPEi2eccvu!|fwjJnJ!RrE|%gcIzq{cH2I_S*4 z=g6+WV&5h-f`owQAZaBJM35Bci6DNmDUX@D)=a7AcQT~X7scmZ4NxrUX6{3&0PcgC}* zj4gV{eu7=Hv0-7zw)ze9FI|VNac0&ydtS0(M|3?sdBon4Xq7eXVL=D2br1os$9lwY z3BIU@=^DY$W*!ILL_%CQf)kUFy^FEY!hXn;7G8|ehhAb#B5Dw{DP;6a|HS%4j1rMY zIZM&f&nNboL_uek*7Z6uOL0lG-kA*n1@ZH-jSixirRB{)pAoYW2StJ8EC(W+K9QM3 zb+NYhV|%5&NY_Y-7C4F2qq8}ON;ow8mm+}O3qnuCIW6ww8Zk?@T6&N?J2Eo zSDThjb*Eb&a|s@4ZBA zG;7@*6sX>;WjeLCj*Q+?VmY1aOwD$LWD~nIWZI%@ojak_tY@_n8rh{DZg=a(lknrR z)%<8jyd6QfYewn1D6Z@i@nmvGJh96)E7rpG+)QiZdQNBBGg@ayvaLgRNrla>wsc!Z zi>5cX=uFS*iSCT9g*O%9T}5~&6D3P&mGQguo#Dg|E#19C+c>ZEj(MdUW_x$m+nu`A gE<0%zaX?V6AuQx$dU#%=;s4nvb9)WVYdpmM4fp?0umAu6 diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/obj/xsim_1.c b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/obj/xsim_1.c deleted file mode 100644 index 386e734..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/obj/xsim_1.c +++ /dev/null @@ -1,110 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/**********************************************************************/ - -#if defined(_WIN32) - #include "stdio.h" - #define IKI_DLLESPEC __declspec(dllimport) -#else - #define IKI_DLLESPEC -#endif -#include "iki.h" -#include -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/**********************************************************************/ - -#if defined(_WIN32) - #include "stdio.h" - #define IKI_DLLESPEC __declspec(dllimport) -#else - #define IKI_DLLESPEC -#endif -#include "iki.h" -#include -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -typedef void (*funcp)(char *, char *); -extern int main(int, char**); -IKI_DLLESPEC extern void execute_17(char*, char *); -IKI_DLLESPEC extern void execute_18(char*, char *); -IKI_DLLESPEC extern void execute_16(char*, char *); -IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -funcp funcTab[5] = {(funcp)execute_17, (funcp)execute_18, (funcp)execute_16, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; -const int NumRelocateId= 5; - -void relocate(char *dp) -{ - iki_relocate(dp, "xsim.dir/DataMemory_TB_behav/xsim.reloc", (void **)funcTab, 5); - iki_vhdl_file_variable_register(dp + 7480); - iki_vhdl_file_variable_register(dp + 7536); - - - /*Populate the transaction function pointer field in the whole net structure */ -} - -void sensitize(char *dp) -{ - iki_sensitize(dp, "xsim.dir/DataMemory_TB_behav/xsim.reloc"); -} - -void simulate(char *dp) -{ - iki_schedule_processes_at_time_zero(dp, "xsim.dir/DataMemory_TB_behav/xsim.reloc"); - // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net - iki_execute_processes(); - - // Schedule resolution functions for the multiply driven Verilog nets that have strength - // Schedule transaction functions for the singly driven Verilog nets that have strength - -} -#include "iki_bridge.h" -void relocate(char *); - -void sensitize(char *); - -void simulate(char *); - -extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); -extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; -extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; - -int main(int argc, char **argv) -{ - iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; - iki_set_sv_type_file_path_name("xsim.dir/DataMemory_TB_behav/xsim.svtype"); - iki_set_crvs_dump_file_path_name("xsim.dir/DataMemory_TB_behav/xsim.crvsdump"); - void* design_handle = iki_create_design("xsim.dir/DataMemory_TB_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); - iki_set_rc_trial_count(100); - (void) design_handle; - return iki_simulate_design(); -} diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/obj/xsim_1.win64.obj b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/obj/xsim_1.win64.obj deleted file mode 100644 index c151de2116c19929bbac41970dbf42d706fefe71..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2640 zcmbtV&u`pB6n@SwDM+k9Re|!W7}!E05@!=ah&XN1ptzz%0V-0d6%(&L%{sBYD|>d? zI1S4Dhv1mKT>ABKb~ ztnFL1B+=X+2(QD+z7-D#{0%+7#(26^VWnzyhW*CSAt>lxbZ(_;HHZBw=<+4{outH2 z9>qa^Q?qiJsVbsgF5XJ@!w>A8zsKzEy@frBaA8-*v3H-Koqeh8-5c}I1K2m-klX>T zo4D>D+_ZOkV^==eVR(Q(53?I zc^Mo;-sxS&OWssMLH>_)5lm-aq6ay2|oM55d_OEx_pFNX1w~Tr{A#sLf>iR@rFF z5-Aj#ui&6srMZLS)L7Ejn+$!A2tN+A{+Mw;BRV6w+ZlHU5#>{a9%@sf z6L@zOuY~AD$(=^@l0;_^y(|$bDC02TVbo_OdK~pD64AY0mB>U*<&PUts3#WiycE&i z$0SGZL0Kt^Li9eAmEtLmZmp1+St$?2(MbwTWLE2%AEYIDzYnl(P@S=9%SD%g$a;5# zbThz&5tVLg&SuJH35WCn4ATm57uAnqLdU0+hq|hdMmHp<$s)C6Q0us7kD>Ypuw= zh#xg%=sMS+#6?Yb8*`e<0&iG8PrAm;0SB6zHAJUr!aXX4hm*Ft}6gf34K zo-ju+;fI`U^SGhy<{j>~gyyK(>fFKHBD{hV&@Ht1T(G3g=pUmJY&xROA}2iRME?~= zKbHS+!!LI+_Puq^*11^qYOAq7d^9+Ke o({Dls=MUi=h}ek|#}$4fVil0jT~hSnFy7{oaGfBiIqoL>3m7%Q$p8QV diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.dbg b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.dbg deleted file mode 100644 index 9a97997ce42a7e46d8150084b913418009f9d97a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4248 zcmc&%O>Y}T7@m}`md^r(rb2>H)CvUk*rs182oc9oWHn8YV?!k*mW_AXEL!hcyPJ?m zmHHQYs(RojfP}=QKYrhTNx@6OCK@4WNQtY`Le z7=`iHOk*|d&eUs*Gv)FbvHR6A^=H4|zb%BgF(t&^7lc?pAjF*~QGV)P{))Qkr_uiW zfMw`!fI9`zB*+&F9)xwVh>DNFpC|<2O7nGH5&~g}Df~?FN0)>^hn z0#BHN1}%qSzc&jDqs#2`3`ot9!k&Vq)_~&>)Vu}K_Ze`M<~_6_b>b7y#DGT~Km9z1 z=T||F%`(CAC{V}tLm*=%=$B<$&h0qpYB>IkAnEqcoh;IE|T; z(a;w`|IdPMuDCb!di|39#at28($6@p*-O-N&y3T)f_8#h&Y69=cBYRV!%@@|)Uw{z zQg3USFM?X;*VdZ;W^V6N2(bQTkXkce=F02?R1d)%aGgz@Y@n7g=sGKB)Y9iTE!zod zxo5^{P0pz0y0Ndx8Lb_I$r-gK4x{B9ZLP@}bzC>wFLOmLb0jb~zFP#f%o)Ypzig+a z*5uc`52(^$p6L5=)fZt1{W77TbB&)-@pyUC6LmlLFR5;lZhNhosHt^-GfYxH676=& zY83NJ7rn)WWwF|7Txu`1R|WpdmFHDv1)D^3wI!NgcniyOwWS3ySFblkeXcdWYDR^~5ypDi>SD|Im#Q-?f%LAEoMW-?9I!dTYTrtA(Px)Mf828hrbRE&BqWoajq zS=jX|GFIQpTxI#1iaYD2&GkUQ|7Csj$j`$~KS*S?T&+L?qPlISk8Jn*XJ@_9V? zap0$c?kjs~@{QbD+X$l|le0jnj7hb;@@%!@RV$TqGK|q9iZY2GuNV3Gl_c$EW~@P% ziN@MvoVnV{!{kv!X^k{ljGBs1`$C#U1be6YArttG+s;|Sgw zc(NH}+i}v%wlmpDx;?x-t)y|EweZt0AG{m;vle@e;$hBhBhJFBvD(+yccLW!FObP* z_}?CmH@YgtTZ4b4N3-wl8Snujws!6Q?63Rg$r=^0Kb#XK*+@GoD^8U_acAj2Tzziw zytiS_-|z77HCV&hdl1|OzXyN7B_aT?;i7U9{1O+H1KPlM;c^B|6V@e%kM$Zsaz#iqey z;2WTe9S#QU78a=(iNCL7j=V$x(}i{QcA5ISg-%~E(a&zDAKu*zsQ;Z8QDCRAt{zJ> z1oc1jG78)&tgGjJ(}4OPd3gkG7uMBdiHD&6QzqYnt!L~-+J*`@pZ5GHvhREUz?qv* zS8vkCzSO(&SqQj$>2h)_@|FNtqV6Jj_bZDcDz#jA6 z`Q>Ggear4H^E$aFV^jXU=X>s-={uf$?AhXa)k7RNma2cMw>dXMt^L~M*vL|m_30X( zeLEYzozQu4taehm?P|%cBR7n5K6M=a*uj2F+1dX|`hzVq)bnn&eegKl|5{%*eL`^m zR)K}wpT4-%xy>zhthx0%rmkrt%d*ews%;m{`QV<`d1vAli{@gtmU|~I3s;7w7y3N^ zSP}90=k-d7xpUswUC-T7bJbaKfj|I<28#$2SEF%*!$o7iYv0!&iCmHqkh&fw!Pt7> zKU3rSL%-GMn%?LR5WMGjR*UEDzsA`|kKZtg@G7gG|F7qhi7j(krqkxxZ$pd!{_6F! zUd!`aJgDx4bKU#0TkSHLF}h{Vd%3n<{LyhW=Y z^7fm$mj5pQ?yQ~g?eyuK`8PM+-FYDIsHA^v_3roA_pRS5)q6ebb#`|4*IToj9eq3Y z&N^-T&TmJkc=11>BM01mE7-2wS0e9|oczU!`G%^K<}}F(XO`qV9qErJ zTQhA>XFPAMa9lSaq3Y{r;RC|<#gkihKDk@+Ied+k-Qy+4D+Q13l>cROrtr~;)0Zyr z2R(M#`$h6#S-tnQ#;RGyJe&XgPTc#)_{ycbOXd|U`1?@vz(em2VZ~X6=MKm83kkZZ zZ~fPQ$mw5>NB`q{+>Ppc_5C+7e>3eU@a-tA_}(pC8*gy@twFfS^9%pqoquA*I8mv! z!N~!d@Xm2CRj5r^ug_QKIgfiEYb`6EVEBSsp11B9p#??@|1!MI&zP=wU|l-@>uGPL zR>$tI?&X&)&)T+rM)aQhb0wT!`X8TDSy!G?8r%J|=K6No7tzlS+}u{3w)y9&(?Q!` z->Qp$^}ffZy84K&*)7Q;@izx2&)jF6*4dJi`gqmPZ?{T!^vCSKcJsxZ6xkhM!TM{i02K7f*N(JYYqb Y(s>&v`PDlf%}Z@m6bqPjCe{6V8EWg>nm5 za0d_Y0WPPy{|JA`j z5B7Yp=Yu^T?D>NF^L()7E8&5>5$+!Jf4%j|{m$HMuGT_$2{>=IVz0Z1sK4!hgr|j?XD7wX;=!7@XHNHegJZ*~% z|Gd_Gk8*8T>gA(cc0u)ZE{UAutT%YP$+{t%=!$HjOR|X$WE1@tE8+7@j`$;w+;;)L GXF#l?x~T&I diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.rlx b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.rlx deleted file mode 100644 index 84beea6..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.rlx +++ /dev/null @@ -1,12 +0,0 @@ - -{ - crc : 2267898614907848146 , - ccp_crc : 0 , - cmdline : " --incr --debug typical --relax --mt 2 -L xil_defaultlib -L secureip --snapshot DataMemory_TB_behav xil_defaultlib.DataMemory_TB" , - buildDate : "Oct 14 2022" , - buildTime : "05:20:55" , - linkCmd : "C:\\Xilinx\\Vivado\\2022.2\\data\\..\\tps\\mingw\\6.2.0\\win64.o\\nt\\bin\\gcc.exe -Wa,-W -O -Wl,--stack,104857600 -o \"xsim.dir/DataMemory_TB_behav/xsimk.exe\" \"xsim.dir/DataMemory_TB_behav/obj/xsim_0.win64.obj\" \"xsim.dir/DataMemory_TB_behav/obj/xsim_1.win64.obj\" -L\"C:\\Xilinx\\Vivado\\2022.2\\lib\\win64.o\" -lrdi_simulator_kernel -lrdi_simbridge_kernel" , - aggregate_nets : - [ - ] -} \ No newline at end of file diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.rtti b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.rtti deleted file mode 100644 index 7d72f7bfa02fb10a6caeda8d7c4c5d4be9583fd2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 557 zcmbtRJxjw-6g^eM4-{QpBwJjRba8Pp*4ReVR@+pogGD4_z@#NXu#^4)2UkJp;wb(C z!MU@zxp#EwYCJa|)!hq+d*9*S^X_@C>0Y}2wPMy?PqB~o3}xH23`NuO2!~%Mi_Ro~ zVGh_~9tV1Ld#hzti)I01WCUa}O{fhOYLn22JaJ4M5*AS+R#Z?3yU9$f)cBv2La7NZ zRnhH6O}FB8NFj=%8@Wm+7XB{XIxq@$wH7z#(2MtxM)4szi*G7C5^WN56tK;*ff*jA zi1RROLnj=K`5^}K6pX778=LcS>9M!}ur77zoN=3{_W^6q^?|pSkNY*?A|R39U+vFA zVI_Xdift5)WKB}HnV%95;-~C*?Vzg%!D)A7MeY_0od11?l#@@CpiXRSIXOaZmUvAP PKGVFEzQtXdpVRyUSWjP? diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.svtype b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.svtype deleted file mode 100644 index afe268b949b6573452c27d3ab530278d3133843d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12 Kcmd;JKmY&$Yyb%W diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.type b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsim.type deleted file mode 100644 index 5fd5846175b3e0494886b1790cf16085a9f9b84f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6816 zcmbW54V+D79>*U=jg~?zTDF;@p^RqCy_h7UQl=tPXhvkNnL97c+?lyAF_mIBE!)~< zckR-yjiOSBq(-5s$V(K32sM(Tl9wooX1~8P=j`4+d;9GD?DLuL`QHCM|L65Q_uO-* zyD_FiYh$j&rj0RkE-|LiR~qu0a4_OG<~)O`i-~lgmhaS)qGT<8M1=m7vxDNV2CiI2t;Rd)7 z`oT?bGxUcnxCL&7+h70;gxg^dWWybBCk%!mFcj{B9LR-Xa5oHx5pWNTggo%UD9DEb z@IxUKK{1rTXcz;fPzC`ghYF~KAcPzEP!X>IammbU@<%oOJFIy z0L$P-SPn12%TNs~;1zfkR>CS+4X;5Bybf=`o3IAf!dtKo*24za2yeqC*bMK$yRZee z!h7&OY=iBv13rMAunRtf-LMDt!bk8i)WSaa1op$HZ~#7o&*2~(f-m4p_zDig*Kh=m z!ZA1w-@pkt3E#qZa0*Vt_wWOpfwS-<)Fm5pLDYSuPWKLOKDz(3(G7&s5-VpLKVufmnM3ogSc zxCD2_etb3dVSO`Prnnz&jBmn?@XfdZ?vKy1X|nKXd<#B_ zZ^g&(ZTK)AfDhq;_yE2g@56)eUYw10;XCkld?((D2jk6n2;P8);cnA*RY#hb|a0F-JdvQNJ7Wc*D zaBp0NGw^-bi|@y2_yL@P$K%fULEI5Pgp=?D+zwB~t?{pL3;b)`6hDj;@FaXLegyy6 zz?k3Q(|9sIfv4aj__z2A{3t$ve}`-FRJ+m1(8~9In75+1> z#xw9T{1?0!{}nI5Gx0n;3qOt@!;j&=;Tibvcp9FKAI1N`kKo7gL_7zN$8&KNega4E zleiMk!)16rF2PS>KYkkf@H2P>UVwA)vv>%84rk+qcmQ66v+!cv4?mCl;w88@UWzmD z3)qX7;WYdrPQlA@XZ#ZGh+oD@xEi;|D{x!<3T}m8#m(_b+!U|Ejqz&S2)~9K;2L}u zUWe202AqU9;TWue!>|?(!CP^Eb5!9Yo%$oPZ7@*qE7N=hR^CYl!Y>~Jo18bSkT2P&;W@kPMx!RkYKPTN7huV7?Z zc>x^+OTzy8m%fS$f53j5-1MkE)Q0M-%?_Y)2}Jkdv|UasBg^@n68427p^^0?5BSRb z_DgkZzhq5Qt+sTCo<|q^UG=3tG#`zj2}I8$gZes8nLz&!>4hEhU7Kp%2zwe7kce3!^zh^ zb&7Ig)ww4|p6a;AQV?gJKhC_uIP;3)%xi8kt>EL<1^MSY$v9Y2r2h-} z3&VQu&ivOI)pPcvJ`XDAf!!XzrO&gSW?@LtpOw zLdt*5A)mbHbybdvGq(EZ-^Zdj+bxUHZuI&EW1QDoXuh($gkt0?M{|soAC8f)yr0UC z#K>3sTJKoxdrV%;^;Mndwe$X;dAj~iiEdBtMaK)ziEkW>;>=qdM_xR8z5a`fXVQjw z&NQrXUqGbwbiSiH*>MM~IVXblfIb7dR{9L+8t5~iJ+IGz_Pjm=+P6aM;q3i6^rw1G zQD07pUbneFk!OAQspQmqBF?-^`PCDv-{?KBaU{l>mqecXbEz?DjhwNmJ*TX05W9b! zkDm9MIP?1RD<+=#WW|{`kUaN%qSxm3|8aiwMRQ_~$2~uHUc8^9X8dZ2p086cdOv92 zsc0VBZ;3bu=1_4D8#0rJjCt^>9yTuI{|KOm$W+LKX;2NdkibSxg&ddw(_jf~0zJG8 zh8j2sZCcPa(%1jeXh%>nncV{2~2#jbd!9cwLAYV26+qjJ!W6Rn8PvF1r}+Use@)<4sDKhKVx z{wLe9)=*`pE3S58+X<|jiqrmjJJ!0Y9CgKstfz|BTcy7pYwcBvZ9Da6y5jY&_>?Q| z#C1_|>JM_o<6Q9)JJvN<*=fhR{#MZqk)pj|*^Zs{KWN8Jf6a8wDcTzF^yEwJc#(@j6nr|XQd@q7afG|+pr z*kA+y--hM#m_zZ`ueO2zpW^|S-4F6V=E$JGIv(a%$0PmEvAdty+z&X%fa3v||G&@4 z4Ug$b*an=#WADoiPwx2DN$lU7*lYf`560ycR$OVIpBOrJl3)0L&Hv)7uDkFu^DnT} z72*Gx8fc)$e&yZ=bAG_~YxnW8a__g5d;Neo{AK&~`3L73tN9@?D<2ZGa_E;$AMkj8 z8teZp|MmKR%YVK8-*RtvK)>a`UjJ|Tuh;)u{_FMsmj7q<|9OlDeDCwm>6gu~ACULs zw|v0*%LlB#e8Aevy?#Kyb^8O>U-JXjU;fKN|K~P5m)q!*|7Sm7?e3lbE&uiUf6ITp z{@?Onum87vz}oBfow4#?um88s@AI71{O>R;9~!fApEs@i_n4Lc0kd+S->rN&%*uzy ztb7E_%16Yk+}8>#9~raqQ7|hX6|?fuFe@J&v+^-8EB_;A<-V3#`B<2hkBwRRIGB}> zi&^=2n3a!@S@{H*l~0IS`9zqNPmEdlB$$;?idp$&n3elfXysF2Rz4+W-KN_?0V=yZ}7PIo>Fe^VEv+@%#D?bsl@{=$t zKN+*~Q!pz(6|?fwFe^VDv+^@AD?byn@;_r%{uj*3&%&(yY|P5f!L0mT%*xNhto(e; z$}hmI{6fsi|B6}p-!Ln`2($8wF)P0Wv+_$ZE58i0^2;$R|2t;oS726tC1&MUVOD-M zX64slR(>sJ<=0_Wem!R8H(*wNBWC3{VOD-KX63hFR(>mH<+ov0emiF6cVJe2CuZe$ zVOD-OX65%_R{jsn%KwR3`MsEx--lWG{g{zI|lfm!*Rn3cbUS^3+TmA`{o`Ma2vzlT}*`9<%ZvFf0ENv+|!X zEB`lU}d#H@Tw%*w~YtbA zd{)fLXTz*~cFfA>z^r^u%*yA&tbA_F%ICqXd|u4T=fkXge$2`jz^r^h%*q$StbAe2 z$``?`d{NBG7sIT4am>n>z^r^p%*vO-tbA$A%9p{cd|AxOm&2@ldCbaJz^r^l%*t27 ztbAq6%2&axd{xZKSHrA)bytbAk4$~VERd{fNIH^Z!abIi)Oz^r^r%*waItbA+C%D2I+d|S-Qx5KP_d(6sr zz^r^n%*uDdtbAw8%6Gx6d{@lMcf+iFcg)K7z^r^v%*yw|tbA|G%KwB}`97GH?~7Ua zewdZ-k6HNvn3W%hS@}Vjl^={*`5~BE;n3W%iS@}_zl^=~+`7xN4 zAB$P}ahR1Kk6HN%n3bQ1S@}trm7k1R`6-x{pNd)eX_%Ftj#>E`n3bQ2S^1waEB^~- zI7<$uMj{BM|*UxZot#h8^}f?4^cn3Z3K zS^4FdmH!>H@+&YazY??Zt1v6S8ng0iFe|?nv-0aOE59DI@*6NKzY(+Yn=mWC8ME?R zFe|?mv+~<8E59AH@;fjqzZ0|ayD%%i8?*9zFf0EDX666Hto&Zg%J0Lh{C>>JAHb~q zLCne@!mRvZ%*r3Zto%{T${)k5{Bg|6pTMmANzBTh!mRvh%*vm^to&Kb%Adom{CUjE zU%;&VMa;@y!mRvd%*tQEto&8X%3s5*{B_LA-@vT=P0Y&Q!mRvl%*x-vto&Wf%HPAR z{C&*IKftW~L(IxQ!mRvb%*sE(to&b?m4Av^`Dd7we~wxC7nqfQiCOtqn3aExS@}1Z zm4Ay_`FEI=e~(%D515tzh*|khn3ewh|gIW2sn3Ye5S^4ys zmCt}#`HYyA&xBd|%$SwWf?4^jn3d0lS^4akmCu1$`J9-Q&xKj}+?bWmgIW2!n3d0m zS^4~!l`nu<`GT00FN9h7!kCpWf?4^Zn3XSvS^46al`nx=`I4BGFNIn8(wLPmgIW2q zn3XSwS^4sqm9KzV`HGm8uY_6o%9xd}f?4^hn3b=FS^4Uim9K$W`I?xOuZ3Cp+L)EE zgIW2yn3b=GS^4^ym2ZGq`G%O4Z-iO-#+a3Ff?4^dn3ZpaS^4Iem2ZJr`IeZKZ-rU; z)|i!VgIW2un3ZpbS^4&umG6LA`Hq;C?}SgD@*U7_;(2Fe^V4v+~0*D?c2w z@*^-SKN7R@qcAH!8ng0aFe^V6v-0CGD?c8y@)IyCKM}L?lQ1hk8ME?JFe^V5v+~n0 zD?c5x@-r|iKNGX^KVw$@7tG4f!mRvk%*xNfto&Te%Fn~B{Cv#HFTkw)Ld?qlidp&J zFe|?Zv+|2EE58J@@=Gx*zYMeT%P}keJ7(oqU{-!5X6095R(>^R<=0?Vel2F@*I`zE zJ!a)MU{-!3X5}|wR(>;P<+or~ek*3>w_#R(J7(o~U{-!7X61KbR(>~T<@aD#{twK` z|A|@oy_l8Xhgtdkn3X?(S^0yQl|O`8`NNo%KZ05LqnMRHhFSUJn3X?)S^1Ngl|O}9 z`O}z{KZ9BMvzV1Xhgtdan3cbPS^0~YmA`~p`OBDEB_I*@}Dp(|2JmkKVw$@%ZF18 z+CDI5H9rVu<%42YJ{V@@gKPiAhrq1nhs3OWD9p-#hgtd1n3WHMS^4iVEB^y#<-=lD zJ{)G{!(&!H0%qkSVpcv9X5}MeRz3=5<)dO&J{o4_qhnS+24>}d#H@Tw%*w~YtbAd{)fLXTz*~cFfA>z^r^u z%*yA&tbA_F%ICqXd|u4T=fkXge$2`jz^r^h%*q$StbAe2$``?`d{NBG7sIT4am>n> zz^r^p%*vO-tbA$A%9p{cd|AxOm&2@ldCbaJz^r^l%*t27tbAq6%2&axd{xZKSHrA) zbytbAk4$~VERd{fNI zH^Z!abIi)Oz^r^r%*waItbA+C%D2I+d|S-Qx5KP_d(6srz^r^n%*uDdtbAw8%6Gx6 zd{@lMcf+iFcg)K7z^r^v%*yw|tbA|G%KwB}`97GH?~7UaewdZ-k6HNvn3W%hS@}Vj zl^={*`5~BE;n3W%iS@}_zl^=~+`7xN4AB$P}ahR1Kk6HN%n3bQ1 zS@}trm7k1R`6-x{pNd)eX_%Ftj#>E`n3bQ2S^1waEB^~-I7<$uMj{BM|*UxZot#h8^}f?4^cn3Z3KS^4FdmH!>H@+&YazY??Z zt1v6S8ng0iFe|?nv-0aOE59DI@*6NKzY(+Yn=mWC8ME?RFe|?mv+~<8E59AH@;fjq zzZ0|ayD%%i8?*9zFf0EDX666Hto&Zg%J0Lh{C>>JAHb~qLCne@!mRvZ%*r3Zto%{T z${)k5{Bg|6pTMmANzBTh!mRvh%*vm^to&Kb%Adom{CUjEU%;&VMa;@y!mRvd%*tQE zto&8X%3s5*{B_LA-@vT=P0Y&Q!mRvl%*x-vto&Wf%HPAR{C&*IKftW~L(IxQ!mRvb z%*sE(to&b?m4Av^`Dd7we~wxC7nqfQiCOtqn3aExS@}1Zm4Ay_`FEI=e~(%D515tz zh*|khn3ewh|gIW2sn3Ye5S^4ysmCt}#`HYyA&xBd|%$SwW zf?4^jn3d0lS^4akmCu1$`J9-Q&xKj}+?bWmgIW2!n3d0mS^4~!l`nu<`GT00FN9h7 z!kCpWf?4^Zn3XSvS^46al`nx=`I4BGFNIn8(wLPmgIW2qn3XSwS^4sqm9KzV`HGm8 zuY_6o%9xd}f?4^hn3b=FS^4Uim9K$W`I?xOuZ3Cp+L)EEgIW2yn3b=GS^4^ym2ZGq z`G%O4Z-iO-#+a3Ff?4^dn3ZpaS^4Iem2ZJr`IeZKZ-rU;)|i!VgIW2un3ZpbS^4&u zmG6LA`Hq;C?}SgD@*U7_;(2Fe^V4v+~0*D?c2w@*^-SKN7R@qcAH!8ng0a zFe^V6v-0CGD?c8y@)IyCKM}L?lQ1hk8ME?JFe^V5v+~n0D?c5x@-r|iKNGX^KVw$@ z7tG4f!mRvk%*xNfto&Te%Fn~B{Cv#HFTkw)Ld?qlidp&JFe|?Zv+|2EE58J@@=Gx* zzYMeT%P}keJ7(oqU{-!5X6095R(>^R<=0?Vel2F@*I`zEJ!a)MU{-!3X5}|wR(>;P z<+or~ek*3>w_#R(J7(o~U{-!7X61KbR(>~T<@aD#{twK`|A|@oy_l8Xhgtdkn3X?( zS^0yQl|O`8`NNo%KZ05LqnMRHhFSUJn3X?)S^1Ngl|O}9`O}z{KZ9BMvzV1Xhgtda zn3cbPS^0~YmA`~p`OBDEB_I*@}Dp(|2JmkKVw$@3xMSV16Do=VC91XRz4VD<%4Vg z#fQL*KLZUxZlh2BpFJOYFwl^Il@A42`R@QL9~!XoVE`-tJz(X30IYmiz{-aMtbBOD z%0~dKd_=&?M*^&TWWdTt0jzvfz{*DhtbBC9%Eth#{EvW@j|o`$Sb&v}4Osa&fR&F6 zSowH>m5&ct`2>KKPY77~M1Yk~3|RRjfR#@QSovgtl}`>>`4oVaPYGE0RDhLF4Osa! zfR#@RSow5-l}`^?`3!)S&j?ugOn{Zo3|RRrfR)b*Sov&#mCp`X`5b_i&k0!hT!59& z4Osa+fR)b+SowT_mCp}Y`2v8IF9=xqLV%So3|RRhfR!%_Sovarl`jrh`4WJYF9}%r zQh=2&4OsayfR!%`Sov~*l`jui`3iuQuLxNAN`RHG3|RRpfR(QbSovyzm9Gw1`5J(g zuL)TBT7Z?W4Osa)fR(QcSowN@m9Gz2`38WMZwOfVMu3%X3|RRlfR%3wSovmvm2VDM z`4)hcZwXlWR)Cdn4Osa$fR%3xSowBUVxSF4Osb~04v`Iu=0HYE8h>W^8EoTKLD`u0|6^P2(a>l0V_WQ zu<}CzD?bdd^1}fuKLW7wBLOQv3b68{0V_WSu<~O8D?bjf^5X$3KLN1v69Fqf39#~$ z0V_WRu<}y@D?bge^3wq;KLfDxGXX3AGhpR^0j&Hiz{<}Cto$6n%FhL?{5-(Q&j+mh z0>H{I1g!k8fR+CZu=0xlE58`9@=E|KzZ9_Y%K$6C9I*1g16F4Z3RwALfR#TE zSosrxl|Kns`BQ+EKMh#!{|T`2 ze*;$jGhpSv{Lq{qoR<%bS@|HCl@E$p`Cyop53c-2$+?Rh*|kan3a!=S@|fKm5+*9`DmDxkB(XS7?_p+ z5wr3!F)JSnv+}VqD<225@^LXM9}lzg@i8l(0JHK5F)N=4v+{{CE1v|j@<}l(pA56| z$uTRR0<-cdF)N=6v+}7iE1w3l@@X+EpANI~=`kyx0kiTMF)N=5v+|iSE1w0k@>ww} zpAEC}*)c1h1GDluF)N=7v+}tyE1w6m@_8{UpAWP0`7tYB0JHK1F)LpPv+{*8D_;b& z@i0<-cZF)LpRv+|`eD_;h)@?|kAUkMY_Uk$VJ)iEny1GDlqF)LpSv+}huD_;k*@^vvQUk|hL^)V~o0JHK9F)QB) zv+|8GE8hgO@=Y---wd#M<%eKaekf+;hhbKJIA-NXU{-!4X5~j=R(>>Q<;P%Fek^9? z$6;1}JZ9x5U{-!2X5}YgR(>*O<)>g)ekx|=r(srpI%ef(U{-!6X61jzto$#Sm7j%K z`PrD2pMzQXxtNuohgtdgn3Z3ES^0&SmH!p9^1oqLei3Hn7h_g_31;P&Vpe_`X62V- zR{nR)%CEqz{7THqufnYSYRt;7!L0mR%*wCBto(Y+%5T7|{6@^mZ^EqnX3WZO!L0mN z%*t=Wto(M&%J0Ce{7%fu@4~G7Zp_N>!L0lrn3ew%v+{c}E58r3^7}C>e*m-c2Qe#u z2($8sF)M!rv+_qVD}M~L^2aeNe*&}eCowC33bXR3F)M!tv+`##D}N5N^5-!te*v@d z7cnb;3A6H-F)M!sv+`FlD}N2M^4Bpde*?4fH!&-J3$yaKF)M!uv+{Q_D}N8O^7k<- z{{XY{4>2qM2($8!F)RNBv+{poR{kkw<)2|z{yAplUtm`LC1&McVOIV%X64^tR{kw! z<=pKVVk=BWC44VOIWc%*ubptbCv${U!PKfibK3K`<*H6tnWdFe@Ki`!7BO zW;H(~X5~X+R{lH8%7@0Rd>G8ie~(%DA22H)7PIoV`El64rb-!VpcvLX655!Rz3k{4QA!jVpcvKX64gkRz3q} zR=x#h!L0mL%*s#0to(G$ z%Fn>8{7lTs|BPArUoa~_3$yaGF)Kd@v+{E>D?bmj^7An(zW}rH3o$GID`w??!>s%w z%*rputo#zp$}h#N{4&hSFUPF>@0gWefm!*Ln3Z3JS^3qNm0yEd`L&ppUx!)w^_Z34 zfLZyCn3dmzS^3SFmEVF{`K_3h--cQF?UNQ_RXg!>s&s%*wyO yto%#N%D=*_{As&!%*ubjto%pJ%74PF{NI?B|BTsh`TqfE^Tt~M diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimSettings.ini b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimSettings.ini deleted file mode 100644 index 3e34404..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimSettings.ini +++ /dev/null @@ -1,50 +0,0 @@ -[General] -ARRAY_DISPLAY_LIMIT=1024 -RADIX=hex -TIME_UNIT=ns -TRACE_LIMIT=65536 -VHDL_ENTITY_SCOPE_FILTER=true -VHDL_PACKAGE_SCOPE_FILTER=false -VHDL_BLOCK_SCOPE_FILTER=true -VHDL_PROCESS_SCOPE_FILTER=false -VHDL_PROCEDURE_SCOPE_FILTER=false -VERILOG_MODULE_SCOPE_FILTER=true -VERILOG_PACKAGE_SCOPE_FILTER=false -VERILOG_BLOCK_SCOPE_FILTER=false -VERILOG_TASK_SCOPE_FILTER=false -VERILOG_PROCESS_SCOPE_FILTER=false -INPUT_OBJECT_FILTER=true -OUTPUT_OBJECT_FILTER=true -INOUT_OBJECT_FILTER=true -INTERNAL_OBJECT_FILTER=true -CONSTANT_OBJECT_FILTER=true -VARIABLE_OBJECT_FILTER=true -INPUT_PROTOINST_FILTER=true -OUTPUT_PROTOINST_FILTER=true -INOUT_PROTOINST_FILTER=true -INTERNAL_PROTOINST_FILTER=true -CONSTANT_PROTOINST_FILTER=true -VARIABLE_PROTOINST_FILTER=true -SCOPE_NAME_COLUMN_WIDTH=143 -SCOPE_DESIGN_UNIT_COLUMN_WIDTH=75 -SCOPE_BLOCK_TYPE_COLUMN_WIDTH=75 -OBJECT_NAME_COLUMN_WIDTH=75 -OBJECT_VALUE_COLUMN_WIDTH=75 -OBJECT_DATA_TYPE_COLUMN_WIDTH=75 -PROCESS_NAME_COLUMN_WIDTH=0 -PROCESS_TYPE_COLUMN_WIDTH=0 -FRAME_INDEX_COLUMN_WIDTH=0 -FRAME_NAME_COLUMN_WIDTH=0 -FRAME_FILE_NAME_COLUMN_WIDTH=0 -FRAME_LINE_NUM_COLUMN_WIDTH=0 -LOCAL_NAME_COLUMN_WIDTH=0 -LOCAL_VALUE_COLUMN_WIDTH=0 -LOCAL_DATA_TYPE_COLUMN_WIDTH=0 -PROTO_NAME_COLUMN_WIDTH=0 -PROTO_VALUE_COLUMN_WIDTH=0 -INPUT_LOCAL_FILTER=1 -OUTPUT_LOCAL_FILTER=1 -INOUT_LOCAL_FILTER=1 -INTERNAL_LOCAL_FILTER=1 -CONSTANT_LOCAL_FILTER=1 -VARIABLE_LOCAL_FILTER=1 diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimcrash.log b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimcrash.log deleted file mode 100644 index e69de29..0000000 diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimk.exe b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimk.exe deleted file mode 100644 index b7f87a52eea1a4f2671acf8f2f72786c4fe246f1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 67963 zcmeFa4SZD9nLmCenF)y`OhBShK}QW5Due{WTMKq3nSqH;Ud#(brQS?tCdtSona)fg zSk{InsM8^uZK<_e+aKGyt=+mS+gc0O)hGzqs!f$zTdhS)yJ5jCbzPZx~{kj4>Af(I5|DX9^AF^j$ z^2iMKP|mZL?y>luy|l3-9Ct)x-R&`drz7C+>grB7T0@RlPnRRy<#5+FI6Aw7p_073 zbBZMCIuB#P+h(wnH(d~7pJQyq#@I=AC7Yg+aRK`nkk;YO#a)0qAGazQ#WRH51hr9u zE{BB!KiFvD^#Xnthx4)&lMyYgK{y&4Vre$U#@dUZ$Uqs!` zK&K=T>P?_r;e7lf*{JT+C}~#Hb-1Zc zrD;frI$52wBr5QD9a{h-o>${eyPT}lS<)-;9wL5G+=N$=hJ+}`YmLVVT6Yfq^-;`x z^|9!1Nm$V1x*h^fKJGO}T+kaXsjP1#Xb1hQY@K2`uDRaW;+Lf z{uP!)sBGBVzrT3jUXpS2=oO3||90DuH{f06wH(^Ef*gx|dk@&yf9Nf2+xDmFmV}3b z4EuHk(EdGC;TiVZ>XAs@LH()h`Rz~Jzx3oWClyllF_9=Dhw8Un+JLKBS6h;y*z3AGzCd_kpuWmz;~yz zN9UnhNn1PR^zUD>uWiWHa4|5fqZY_)qV5 zJ*dw1??US#Z^}Bal%vo63{0nN%$tg4`%?3MybW5L>0NoiK0t=KcQlhR*Vtii^3+MM z{a==Nw-5Kc?oBOS&&#B;`cGNx12ch`%0h()S`a&Ed!&=wGhjS>8i3o!1U*#bUKa_; zKq-;yDI0zSxvcEzv60c=Qri#aZJmR0r{Lp|1I_3`ynwRj z9gi^P8H^NJssnFSJ@LCtU-H=KA1()M`%!Od^;5|8CZDq3d4mP@eYs8VU#Z;RjD8z< z-odEFoBZSGj}Wg)g0K76sN(G3c#}_f`}bK!zY4f(}w9X7lJv_TzE4` zkB01A1vfbsleR+qhgl5#7{pQq8I*dy zXZq+MxTS3GZ3KmF`^m6wyh-_#YlG`k`|Lk-7quL-@h(CAG_|w}Odm(JWQ%YA1Da{? zB}k9f5*2O++y4Y0B>NO_y{Wu42u3eO?)I^RS!4qC?MHxMzl8eK?U~13Kti;KH~F)% zf|qY)?ATg@4S~i=6h4?m3_)oQQToMY$lrl{PuUyCj(jjSmV9FLi+~LQU-+*OmEqoN z^p+VY@znccV?)S%N!A7qk{4eSFLx!u#oBZ9U_UY|OY4CJ-`&aL}3dIwp zFzRoAoQ&FrT9Dl@LXZC@AZXdKf>VDZfH^>mqQKY)BIlzZWOID#*t5Ba4chL8x{v?b zeu*K!IpRsaHa2_SeP41i_f_p)xBbEP#wyDz$Nm;lR3(4v8*Fd( z4zBfjQ`z2>GuxZ2ZSf}8cBIy}B-d_8)kf?OK4E{bHn{T8X9HE+4l)dDzEnA%H~|*EiZ9o_(Ir@*aWg1`>O(9fR|%8cp7{gJTR499Qzeo%nz7cItgp!kb##>rLLi3rTP4_C5-dx8IK- z#bL?Y@1^)%58yv;QUH^s9t2PO2qAtZbrM^s@+$qk$ow|#PkG&`(?&P7X zZYO!{5AuBb!`4je2diwGyX_ATj}<*tS_jpnlxV89H?@8%i12#7nBqcQwYC?<2y(!h znQ`2g-a?ZrlzIGoSxD%~qLC0BQnGT1IjJvGg<&L|vuY>yJ7<%|2D^Lv9_Mrn(Lo=D!lo8os3iePZJO>#2$TF!7!`yua{_wZ?GM*> z+>x6pz^S_gwM1d+%fx{MrednVw|*NPB&y4|)`@=R^#-`rc)5wq<|g)(u&^pvSQ1lF zl5Hz8+{r(p?SS{9H#y4t$EVOi%-w_c4~yDAZZP)`-Z!?LAZdNcect4ARfE0GvNy_} zckSUGmy7ZcIIgnvz!z;Og3y(9scV}*Z_U1Apor?^8*~GTp==0kuqv}>0ihLBFQT5Z zA7ww!?r;}%5MD9ibr4>L)4ubm;~8adK>ls}iT{nL!ami%@gD2&B%kvpQN^F69xdtv ziaYtNZ}7gn(JYeoHCOT!80-WCcv;0enCdM?!_1!N2E;e`BQMAL6Z)qodD6v&c>p=+ z;oiX=bJ;Ya@j5BCbPh!gh;xWU@->tt1UnGC+x8JbcnXamxoi?zI418{(LAEBnJ$lz z_o2z!AxO`w^3%NqY&S`HFUgWx(~+u)_N~g!?5W;+URuVsg8?*_ckoU}27KBcmQBUq z9o^1nMt6GAHr{OqvXSRKh#4b@Gt91BdkOE@UC7;gej2gC8Z-y;J(zjTp7#tG4`BKh zBecRawA5|8KqqzQ{rFEJ*|&cavAq}QD5=|y0OHNO?Ij8@SM4D7QK*u6_kguf=C*bPI&b_J6^-^VNdYuH;*b(oxPdF>= z%sz+>1n}V6p#hi7XYXaqf%*E2Fr(5`%?j$0Xbn<*DeQFg$f>chJ8nBk^k4zr!Mk6g zsKH(UAX_BC_FgWCJ#xpnz~W7I0^mJ=KiA2Qe{Aoi$OR_1S}D?wPITnG^Yn~8#TPqK z^fq3F{{6kOj_Ex@jK?$_JE+EkkDP)Cs5RFhy0HyPK&4Z2Lji+nzR<4yZGg~5Wqlj1?S0bgbZcqTIi~8I_cs zh}4D74M&l8T?ZB__d;u4%=F0_I})3?vl)G`0KimUDIt7@5K?&y5a&3fHxoc?68usQ z$&hk%A1~vhy@P$D>v$m_4cE4RZwD0*0Me1!UL(LNLQlQ~;Yx4Zho!l7F{OVwmMI&SA_Z-=RXqYJGSkrEEXv4a}EIbFm~!+4d7)uud*P3VuLI>_+^S zmu=H6NZL zy?i_)xo@z+;_YAcB5E+w^T*>)rqRcK)2Fa(9ZqEJCK)UESvNV3AVu#+H2IVa4~`W)hBRT7CJ&4iJWY5@f=ImU-GeIP0-)@7-sB&= zWxq(iiGSYY2i{8$cvrrfxZI0s5~vZu*9v3T~3QUs%w?X??$k=~t9`5aEz_87QOiKVL%IcWQS3u8G}Hhj?b zPl#EFa? zp>52JMAktD_rv<%%}AWv|E?wB)R58Xsr~2f#dflHaOw9bSoxoc-*{7*w5^bVe$u}$ z8=#&y(#wo)0mtKe?U(d{EcRE7_6T{Kp^8uoh=It0H|_ZYMSVa_Qr9PA^ClaMir=)) zY%D6p{|!Ye@ZVkJ92+68+HBe{PUc+zTE{lb#Nr0_!uw;deSV_IX`jEfsLpMlkNu36O&j)b; zAx3Fc@{h0>h_sb##M^>cf&?%442X{2mJjD|F9!3nVS6!WUkvsTycgQ!_B=SZ7wnFI zS?UMula#()Pai}2SON_!@&AR=s=omK7D_kj`G26aTN=d6za6LVLfZbh?*Y@*{{$T8aG`7Eu^u>zU!a|nGo^6f$vH+7UjD3loGmS1le%C}P*0d(LSn2tzOK zx9voZ1>allL_M zNnU8hU7S67wHUqI0fq8M7a=JWuC;d)w(GEEPdoP9O}3=w=71E&rvh*C1O%W>R@Y6~ zXBGYRpzZf?HPp_dGpB>Eg96ZcaWlY07(oE*InySbPPU5@rYX!}|EJ%2g*$bT2fJU}J>cL@HCR2{pSN#c!4ubJF2FO8Wj3P6FA)35 z{Rd#6`wBm?^vft>AH0Ja3?ojaEroO{AFlh?-jp|6nqTr=T20a7>s*LKeiB&K$zvFy zMzN7J;!ar$aXxbVJDPvzI#|p_m^X~Mo_FwXMo@Ft9xJs9Mq#+_1E^gFgLyqPK|kmjp+*|*dk?K7=~U;uIgSKXKqYYin8&sf ziOjk6H&89}zIX+KR3#d*@bO}%Zeg)vsD-oAYj>gB7W%b|Uuyu1_^&o=yXI5t; zz(EpJY`^_wuvrap`|JZ`_Ny_`gyp>eXxa07(F54%wsXL#pN^hBNsU6Sa~>i*b8JK& z%BkbW>YbeSQz*E(a`hK@;(lWBMMQf(3z}fgMi)w&-sF>sgm?S%d;m@SfMMcSJ%UaB)8=5MBU+fO5Ug z7tntNR3D$T`Kn{oKMhq&K9#cVY9<%jGtayIjf4Yj+%b<_&uxIB8QAC+G#Sk$W~;Sx z$l0qLKfiK4G(+CK40G^;6pDG1zrpdOZ*bnL)UA`hsG5J`UmSoSdc5Sov>dPKwYlzO zyERppn{0S16Dt9D?*4<>-ua`+%J-8ENPOT(ET#R#V%nF3fKPe1zil7b1mz^(RP6!c zUI6}8$NN0cicYg z13w~y+(ur5*ST`N(CDwkIOVtz%L_R^aQjYtV)JHh+llWByZ(u4CQXuS&sAym;RJ9r z@D950HdIeV{g^~ebW!6ah3SuQ;f~z|JmEjzuCT)6zXKZ)QyktO>;o%+!25)KyHC*M zhV~-01S*~I@orj%EZHXn-7Emo1p7A7kG)~Azcl+yQtnTYD`1>K55ax}m^z2zXW_Ji zFqroZTYscg z=Z*O&((?*hU<(x)%)6DSpfiy{^EO~KkYn#EWxEzxpke0?d6S}!-`Gz`{`8STG^cnj z!Act0K8!_mVpS6Jyu7Q3WHO2=&A<8)OWEF~#vaT&m&50tpj5Ilf2`nbWOGME-Dw}! z-|;UDN$$@A4cuo+1bw}MIpkp8LFA4Ve2UXA_&cJAac)j3W93UddB<@$h=b*q67%3n zyr~LQdAR2U3VneW>eIQ3`7#c;QqFvDvLb)fK^=WCFU%3w1M&FJIf3NQFyB}L=T_^kv>>lU_vw3nysO$hN7mvb`LWgNTl24})vsYHQ}#yNwog{ykbEw2o_g>I z*O>M^1a^N%U&4eFlwb7*)~-tJoHhh8;eURb#0D1**?u*8isryT_*bvxJ*0bP9sfR* zBkI%tAEdt7scW$6=$V$P$OYa-+u#1|zol-@^;-TrohfhcGAv%j0Vv5aG<02^cQrjr zNLv|&u?xK0j`37~4)ZSLF`l~_ig>~cVDt5c)%eiR*u3YkJfrY28UC{jzb?Z&Wq7L$ zyJUEi3~OX~wG2ySc(Dv;$nXO!(1_1}$naGeJ}1M6W%w-_-YLUdW!NRdn`F2~hRbAl z1rIU6Wl%dj$cPsIH~(+mkQSa|38Uf9dyR4Z?2Q)M=us(%S9p_x=@58+G+D-`UH>Hzl@2L#l9R#}C9d#e+SaQBmUT_?@9n zpkm5vxcas`dAhGpgwc5-5j)8 z-EEF|xV(KP2*rCM2?u_m+@Kml~5E8{5^P-Lpf85d4?Fe`J+d~WEp+F+s-NhV^b>Uc|#~-<_CluSl3q$rc zw86ZfqjgIn6nFR&4!=f4Xr1Zh>SEmq&{9io4ktPs0sLsiQQAANm+;iON8_QMU^kQp z^Ys&N`r%8WJJ20*pbg^$m=`Qj{p*>^wT2UD$6G?__^Mp$?=#f&E~itC@^JUK^vQAl zxNd_He|*|`oiU#=V|va`W7<6~yC_ROFR3F_|4<;8^@*V#--O*R({-3+`D3#vaEbz zv^yT|T^PSPa`VDiXjAC|M@3H<9kq3f1Knip`i1M!O<;64!(Qh*%1c(3EMbQ7o5Nj8 z7Hj3p&Rl+3$>NgIzijzGSN@O6|9S2Ik^Vl?-=E_@KC+)b&wl<~|NDPi|5K2keRTbG zX#jg=7Tolk-h71D;ZYka;w=dO8Fwz?yAeJ&o3Yu5k05*#cQNAho9xSQdl4^1xD0m( z;!cG3;*KJ|3*onLZ$*4J!tb4j-`pX71mVvvVC){m*@f5%!uxF15i1z`~P z9>k*v6SyBmybs}PxQ7uxiLf54z-I^_;SCGGli~=o@YvZY#PbopkDJACF9N+%zz`os zxUdYrLr1(6;e)t~5#Nolb}?h+h}R+f*b?Xk@lu2}xZMOt*oxbWcogA7xP6EZBfO~` z`G~h5{3h-Vi0?*t9CrueClTJd6y*@_L%0X`R>X%97A#}z4#XV@pToTq@gvJ|riuGL z#7`o85BL29U%}YF<9-0~kFK+8fX=@xRtvLM$gmZmJ)7Ar=d$e5X)Re%Tb*?{VQhr;ps)&lf5+>}qD#hPKWW?Jej*_pQN#S}|}L4pz#@DbOVvi>H;UR4rMVEUO};)Y3xor0Ie5L3!VhdA1B@tGAR+h@+NA zb-6w%JSU5t6U!=v>`_QNY&pkfJLmbV%*@h^7EyP}E1f@;BN@IS>719t&buRv<@egy z^bRY_dR@wr&WFmkN;v848|keEIx}-@GryOY2`#liOT)I2%p(T=By!5)MxTnic#~sgGr7vv=$&aqTkOqQ7I-%yT z!M}eI_uuNUR{*o_WUS~5I_v~sZvj@G1{?S~@V=zuq{pmxi_{z$mdns9!<%H7kl}y~ z?~&m@%J5Mcz9_@r%P`~1g8qdvESKRmGQ3HKy)xV(!+(_F_hfiLhKFT%Qid7#q}PVA zSu*`G8Lp6_SB8x;Y?Wb5h66IZM~2^!;cgi|B18TIe6Spm>6c{qx(xZ7ACUi+On)H5 ztgi?;^JQ2l!^>p2K!(d?=#=3#GHjILO)^w#9T}ItL#B7i@O~LSB*VipJRw8t-w8^k zGIYwYS%!Tw9FpNfG8~cNTQbc3s-ROS!y*}$%g`&s4KnPL;k_~(mf=wu=H4sl70Gae z4DXTQei{)2yBYPHl_-nW4mzX;g2_-_6IE}(dQlvqirTmF`aPkuy4`$C< z)`k+5J+T-LhHzXGzPehhvP*qjYt5uqP7o z`n!UWkPB-xf)R0f;DeP8K^hV`|LTcWb+vW7Sc*fo;IOi@5hq2i_?mDeL^1X)%4rM- zHdc1y6p68SGpf2!H-7}DEaR)=%k+i(n@nK#SSCM0s>69)cdV1n*Eq3IjM=R9i3sFc z)6>Ndof`eEkr2xwXr(`zz+qKoHx!5WdF2tz*WJCbC#oVtrLiqomr{;As%q-OMho=v zO>09a(bUz!brAIQ20~FfS3<4O3T(HvArcBj*<;qmP^>fDMW4}R#3R3y;dwTb65=0`dnN+|wlR1qh*0RicW zqh8_^5v|P>f1ooM>0+NjtTW!OaJFoaDFG3CpDAthHaV=xEu1uz)X5&UC^(PX$O0LP zl(alk>FMGytJUAy9ZRr6D~y~Do!LCAptZu<7LA3w5^e00*0xv(G_SR`ZAN!M>=r8> zh!XbatT+=6MA3Q!6hkGjzhR{lSbqe&2iABZ772A>?Mkt(0FIhpvTjld!&SsG>6E)7 z77n(DNO|jnt?P*gl#69}cw<AL%pFu4+um>55-CTKXQ0S$RFkU65Rp3J1xXJ914Y$E*xIR zKs25RLTki16jT!3z)ytQNzm_bTzTjT?L5oV@jyq2y06NNv7b)}55*OKLZM?_<(5#a z8@yi@cp>mgpmVa28BMgP~r9_aak!c`;gJGzM@mdS#sQq~={R_%Bcb3Vz9*!ZiS5_%VzAUM^f_26V?WX1T0^H> zout%8w9zR@`cklE&rG2l#JVMfy{4Z|u528SO0c6k?q)xH)15Z1b1owJAcbo-O|i7* z`5(To_0-pRd`lMd$7;ZCHu(K--<|PIfmlLFPz?Tf)+ZK(;f6a~g%8>oigkq|9AOpm z|8L#8T+|`EV@jG_WJe!e|MMDXndzL6Nq)Y7J1?I&Uw!_`Id9_p35k9L{Oi%cZqcqS zGTd;RNIQ3l@UN#LA4xiq25=SYT0mAh3`>EVhV0JRDer#a&yteNlMHie-xeTiUUh zEooqtAK!pQYWwD8i%U1i$Jr2FnEprsW}-{!!M3sut$402xIrVV7Qkbm3ptS`i#G^f zY;8@G!`0weT(Wp6v-Hiysj!vJhMd_J%Bd_Xb8yid3p*1%U7^*1?pSCs68>1AV|5oE z&g}9#7PhN@wa8NMHZB7sUMM&@7PfVDFN`NJnO%q_6s?u9SCN~p48$kYhaj-HB=A3_ zgwLSD8J4U-hd;(L-=jqKG%U>9@l-JWsp~d8gquK)P0t~KwFm#BiI|dLmTT!9Y1Yj| zhmx%gNM>YAi+5m^JdTXjMujuOgkcpV31G`6_O@&{;I?d$J8hf*(`ZW1SNa)NA*K<* zlC7nAjWY7BT;yPP5ACaDWLwpk)rv=>{Rx(Z{RONG6{WLP3G~G9>~Sy42oG`@&WANm z%ie}~F=9F2LXHWz#~*p1xMRE(LyZa zm&8Z~ZdReM6Ll3Twm>pYqzl^}k76E8yH+gYohvg*;x9s!bEMX~<9I$9o!&xODiHmW zLvzMMJN-Aq?lWeY;NdQUU&P^A*rI8TY(zt|%%#K&;^B$x9(ueTYxC}n;SjTKT|yb% zQEcJ0Gu!1{eMr&Pm#vI908-Q(wqpahBE5!7C^C^x}Wy^i=FO z2|FXhCOQc3O?U{s1M6vYpJ^YXid#Dp{#I<7VcTHZqHhA-mi-<4pS}(M=(qW~{0I8B z?8gw#6Ud=YvuVqoL)w;o82_h}2%{>hz|YdCD$#E6Mf*+r5&ZyGWQ0DggCBbw;2GF} zf-CEeVn?Z+S$XTv-EYJD7P`8zaUt@Mf~TToF;4trp(r+QLT%w*rA(pcb2@cRRn^HU*IB;;o~BNVsJig$$D zXn!Wga(+gTYze`*1;3T^Ji&5jVc#mjcko!w3wMHCzJTCo1T5$0giNqRf8~)q6QWmN`j~`-ut_ zOWWGad6No1G%HeuJvi*w$<}_0pnGOT78{`dMbJlQQI82F0v$>#-(b#po4~^`4Qe8P zSE#!u&U+Q%U;{Si9m?N7s}&o8C`3lVa{ffHL$lhrO}tBqXJ)mbJj;2H5+k$P(3mXe zeM%e#S}W-MHzi)0)fVVL;sZ*&GOI2AY3yz1j8W>yY`IgNlVSN+)OVE9v|laLCuhge z?=kAf6WFj6a%5VluatqfXNW@Yg#W+_1TPA4{v!>s}iCCj3M(>Xjd z+oBRgJ{1?4(|KmDD9O7hw-}k5%X9NZZV&d|u|u7npU3lOtMcfA&fpn^mI8Do>}dNp zhPo6(GuS!89EpGr*Gz;olOs9sX_>F1e`k}zsDtrNZ)KUcBF=$iV;q>lGQX$-$yhlM z#qUSlmR$!T=Y(l?Eb3lh`8kJ^j}UNjGBWc#3xUt$=rp&bP2@1n-h_M4>9myKF)MpB zh&49Vc$9`pugBfw^Q6P-T=k7rE}v5GS*y4`bsqentETdLcz?ZAgGV_HR)!I)q0U#; zNR%2_rkKN6v>zbJ@E$ykUt-oHWu4<@uHCB2RQ6Ni4SknZV zDy!=hSB+b#sCU%>X~|-YD4Ng{VJs_W*=NRRs3jpASk5>!m#-;}6+1`IuJ^ba8fq(* zs+vZnv6l3Nx_NwTx*26nodF|P$8c3vHdQzIT#X*3wyAM#ZB@-$#Z?bE@^qZK`dVM@ z+9r?E)Iix#nFn7g(dz4|t1@NV^=#6Y&*MUwd>vSa7iufjT-R)-4kr3OG&yqFEFJ8w zZK_bg=jvb;yS9$a)}bmgpanX#&gE7bytVa>%9^H{%EqeN8g`yBx8Bp(RA1A;&ey?f zYMbhnYnss5zN+e~Mpme2p}88@yXqlpy=RRFmO`4T@YHzLR8_*J*#$bPud+^>(SrQ1`<=IBtguxG8OUU9EM2@h|81~ymEudQoTTx*-y#X88f zuC~fedRNxe)+;WzyPjR5=QiNSn@Sbh9sjDAET)!Vj?-kXV3+DSf-KOL+B(=j(XQn7 zaG8!Ho28+#9y&oQT&`!KTe*Drb*YEyz7Af2<3NYCifTm-tVqWvbu_rVYuG#^tkT!$ zW>*+t6^-mlBg9+9)wQMyLKGXb8q%`n8?)A|srPv5*vE9Jr@2vCQMzQsa;37i1`eU7 zv4LHsXRq^AHrCcx-QZD#>9GZR-db1$x+Ql^N(0pfoyEr%>KFuUs!_G#tA$yhIjgE+ zLnWufY5+g5(wwfcAPlyD?;y1+&=#~v^sg5DMy20Gw z$^g=)wwF3W=~}kcOM_ukwmX3 zuecswl>9+eGqm}M^!(<2rz2q)DfC$;Oc$UEq$IEe8)ud@eSUpHH~GgLWkVop-$V}h*4V+ zylUL6QqM=9uC9eXWG5tNgHM)d1lPD48l|q7M+c|5MP+?`RilTk(KETrs4i31Rk;*c z=l0tJd?#IGZcIYb#id5r`UrU(P#7sRy{8CG{JryzrhpRWY*JeGpzi}17v9&*8Z z3POrN?`#Xkm?pDh8bUR6Q;=b= zq#)B?L4noYP(`85?&e{Z9m5L%4VbT1`f4jGJg^1<$hKc6A~~R3qsYWL_A1QEn?=ra zyU(-M1=qnTL4WczU+ILeOl$><;r?J?A`ob+r`qd{q{$SYet#8t?)sl?J#_ z0%%6fxm|?nH|i|rq~&Xc=Gv=W4c97MOkU_>0pRgVxQsmNuwxQQWR*%7Qv*hbOIc&*xIW-G=m-GD9Z_D6B1=CD<7BDCbHt2V&#gtU^~y{Z2n1Q zGo59Aji`~4f?Q{uwxl=VsWxm_!P>f8TVa(!jSYHYIGYNer*9(hJ#@aAm-DA=&qXjv zIEqTlCon1GrcqUCfK}l@24<=wRh0vfkp{pLu(7JC8rG{L`CQjxnNZURTh@UR5dfIB z30#i-J{?$73o~@V;B`nrN3+-p5pcImiYSHpS_ zCUMi#U=_7Bc)rHRa?@Z9RX)sVxa@gp;A?Aq=pQ<{hHI~5 z*`!0(ddTt5OoLpz3@ara0izPeAa-sV=-L%n9;IPayVtm?%SzFIbYO)WqLyJvG!C>F z%b{_gC0GWH1C?XBlLl(!v@RSET8xE`j&%b~_{y-#(LuB~IyY??U^y4%{FaQAZ!(aR zWjS-wAl$je$V~aUn9_=;?t*?i&YE+14&P(u<-%QoZaTFyAr*0?Y;vaiVZCbadkFD! z;B&dl6+Q3D974bmmv{ov!Ow-PP1jZNE-<}^yn5yvgpzNg=S~xtnjt<-jcbQjI6caQ zG|@z|5MTh^>A+F~7E*z{896zb>N{Tw|BWDaK(bdlu|++Z%QBPYXU(+CvSemolszx| zT-w^U%(Ngjogn$=L&rsI`t+-jgwC&ERp(-(`aEoxe|-8Urc=UZM>a*W$~EXGFIaT} zj^PT}l?bvema22{b3&GLDM~NGyNAz_n6|2O1?Kbu%as=hq+A!qJlCe?&X&30Nx21SN!0ngG)^|+bpH7uMwKWm zXKRVSEdyrz|K7D3Rmxzs_;0biHzN=KE$CbHGe*l~j=Hg%sviC0_u1;%KT*I|v-&JS z<{cKxWtX*IU)pnRdwc0>-({C&SitB$pk=VH6RYv8D?h$SeRyDz7%&%}_Td4ESuVmw z@IH|q9DSsdFrLz@7_xr!Ji{5Vk(>U2!x=E;6AF`18&nlb1Ve4{k`B@|o+L(D5f{&z zi?|&5MFP)@<1`d;_zOCp6i_;7gsTx?I>TAWkBaS(_GNa>$RBpi$bM4bef0mYQv)URs{x3<9`^@$`DVKXt_eRK!NW_2YmH1< zn1j)i7!zG>0OsT7I2_^A_}>DD0!M^W^u(ZE6n>0f_uzl@EI9PMVc%JBjsmCdEI2l> z&xi9nlRTFL$9WbyF5pmY$UPD*oQ4Ck>nxPpfirX#oB`mlvvB`A;5g4h=V9OsL$ov1 z^mX90;MUvg4@i}sfjb^oic)Q9DkSR8AA3T|kq!wU!HP8qrRcNpbm;nfYWytoFs67k|yC70U^+tbVwhxZ#fIj>%b{J3(gpD z9B06xAKH;Rat#t*4AF+qPzuPb95}nrf^!XUj+_Oj1vn#T!Pz8n$f8JjcwPe#da4Oc z2F;d4lu%c2GdrO{2X#EMesky%gRfqCu$C-=kFi8Elns4g$w<2AmT9 zD^0=_5@uR119pu7$p0llyt!7!sZN;|2tV#BX~kxtytu7e)bUe=;z`T<$+UR!e^wfXi2erkBL748c)zM5AEUaT1?NA3GoPjHdF?^1D?+7{%0s6eJ=6aG^T z^-FCF8f8RV=y_^PZHrO>L|dpidZ@NV2S8v4URXJgyVSPu0xsI32(cUkR!K~6Q>XxR zE;Hn-3DWZj7zrGw3f97sn*%XQ=sHxjv0Kx|ewa!Ha2~&N#Ob z5Eng(De9$qiE2QGfuQ@103b&UkSzp(`gNSU0HF?~L;eAfAp_+5fV5z}uj9~@mwkEZ zkf#BO&QKw$&EE~;!sh8+(MnnRj7a_cYhS)Bbs7sN&$nO2Vf?W$Je~Nm1|hFbIyt35 z)OHt?$)e_iHa4x(F16hqfQepEjF@Nx746LJF0j-#Z9!7B>3+4i7OFi33Y4}%yo{IH z?!y41!jQQX|7oN$UepWn(WZiz)0l~rs`>9AE;Nr{1M;7nr|X`vSHXndNypptG@3sS zoI2csS2if>h@>+#1O1GhwZORw+fX$xC{rz-1|G~J?NT-00+`TzTBeLnO7n6oDAu$J z0~mLyT0IH4(5gD?G9r>z=|QryY4vdw6I#WV1{t1FsSGb&VtuMMbY*GGq9w~$E?!Z} zS^(j7=Q$Um)UaBLLlyus0%3$aX3gWNeQxy*?6T>-vI?chYETiBRNF$xLv8UW;L|vL z^`E&djJycX+!mxsfS~-DpHktEb4rjCZ-+_*hnNARJ+@Xt%9LAbpbwbaMiS;b!hk9Gke9fpx zwJnB_Gx>T=PNGo?07s6n3xGqpnHKW0qy_ydsjSCGWUDHR0V1n7-TaXIjY;V6 zzd%Fi5O4pWF53gs#E*2Q8ipB)t&_o$zzn4kW?M+LR{#OpvFtJ=Q@B-XB%o? zZk&V;slT62hs2rp?fNk7 z)rWGTz3u@YNs zhq}a~hy_f9%#I0VCM=qrMR~m(+|C%g1d!ceEx40E(W^`PRg~VK;>Wvh5$E1UK=7WF z77c<3TLwBuG|FZjeiJxG^Y}12r1=m2P_KMqX1G)v>xJOL#!f%JZvc+4u_Dkgj_<;+ z`rKaR@S2X>&m^W2r_y;Q9Jyw6g4aY^)#C9Q_i!+%8S?Tpm52VkIyI3LUtq zHkp=U{5RT}l=%kot9reVNV_DCYXxAZ#R0FP8*bn-3toh2yhxrRm&--a)8SAaADd8I z=*LMQ!=>7M6LcuYcmDFJ^nGj9#!mi)`e}=1V+K)pLg=UR2C}}>>W7cZmAvj}#;LlY z^sIEMTx7+kqeDXR@oN$~yjjyBUi}ms?=`UJfO-oZ!Y2TCqN^D=ntnJ!8z4?BTg6C( zDL?-@P@M)bw+{8aiC0^@Kv9f(X5Q+oK;u49FOV~kzo(FNwl59Bu3t5bEzF zr}6qQel>a~o0nRB2$Bh{8vP1!Rkcb-{X(ne`6}V@F%AylZ2f(QrZN6_0G{NGMDeX9 zO>KWec6bJj5wAhuL{%J3W1R~ z$?)*Qw4f&8L~{x00fr!)kjLy1)%nJ-*2*+$8ZWh#4{5Dj1OyrpjTiUflW66C(OS7T z(VLifzS@EUnhlvHau1#Ji~>d^Akh-xh-fJ0toqTMHT1++`+DO4r%#XT)HF@*IxO$h4D>Q$|E zFl9@0q;K}{GLM7&utR8UB8wuV-vDP9EJ4@v8-Vl~AnyTEda0^;DpiQKy2F5T6(IE8 z4!xDH1*GmW6{5D6kcaehGxU5V5!0>@(_Wf{{PayD!6RyW(HlfWdo3^`Af>ifK5#^P z(R^;={qVX;w8f}J?I~!BPI{$ZK;x;_z4UU@2Gw25(jw-%lT^k_oktx}H9r9zD#1O{ zBxHV5lbK2>XfOTxFf#8(F{p9kddb~FU1q{GU)&>2LgwixE<92cQ?Ed}nmN%pY9aLO zm{1-<8YIi)Z2_;vOYH(AYJfZl$glyjACQ(J)t;%?Yk=%FK>h^CNdqJoZPhm~z0@2) zh7FLFfHS z7!h4N^ z4)w=CRT^r&^5I;m#%J<2f3E!yPiik}CDC4&^0$2vJpH2fqB*;0uZxTgArVPGq!pnb z2V!P4YEx=S(WdvC5s*iAST_kfv;t3f60uH^%U|xdr=~-*ovI%DOnnd{3y*y!ndKRW z)~4ES{4h$Bjo>^^dRmPaD+$wCf6?-npe_vIgd-qJk3v)fqRP7?o2E8jDmFW-lvVy6pg8F#5WU3-87#X_FbpX-gB zX|*o(e1)cG^G;WX_)HSh+p8_nfp-^xv)-n1TxP{*-^m$)yrh*0cYEZ{0Bx=CeSX-; z_)G2#ET&zWX}HB1D=Lt7Nt|+BW_3RyO=em5-=3lF>h8+_V!g`eKslmb6STYB6*-}5 zej@vkdnvD|eb52}7Q_ikw| z*{ZdCDDHJ8?k7y#=a{&kgm_Xy$vuBc+2D<!w%b}n04t{MN)#JzM%+*g^n?>BLO%fx+$ ziTjEvabIEL{-}xj2^05T6L;s7xR;x_KV;&5)WrQJ6L;^FxR;u^KVahiii!I*Chm1p z;=aJd{XP@-!zS+KChpBs;$Cdxey@r9GbZjAnz*-2iF=WW`%V-0{U+`iChi?m;_fhU z-(lje{*FgGFIe__OdB8ooghd*ADt5SIVSD{ChiZJ>i(jMd+(IE7n-82XChqr`xDQQLX0*C{ zro=tl#C?N_`wkQLgo*pEDRH-&xHp=(516>$Wa57Rl(;h!cb|#-RugxxiTmy;aeqHo zYe6~3cAK~-Ox(*&-1kh0`zaImRVMBc6ZbhL?!!~!{+5Y*xruww#NDcMmn*41qLetN zKK&|Er=zYS(|AdbJ)+hEbII|Sy2{qpDHGv*h*c!f=RKD{n}G_XUFs@Qmswp!rpYYp zK6Zw>t0U3>V!g^2sPk}3c3O=@RISDPYAc@}XL+;QD=mnOyVPeqp0uj%j(4`H?{mPL zIn)QsK1q8iD9cygikSz*P*&LUp}g~sR~*8 z7KD-Gm+*e&Md~huinAI0qlxn2 zNl>0+qD;TQH_E)hMES%dC_7A)%T1JnCd#xAdp6rBGErV-q8u?%E}aDBViRSziE_e3 z**OWy3rv)KCdyk)l$$3(xzt3t(L{N`M7eJgl*>(&H<&2zFj1yAsGO|@SC}XVO_X<< zC_gj_%BxJ2BPPoCnkbJ@`sy zFj0QUM7eMhlzk@3J4}=xHBl~_1m!vt<(($V`%RR~CqcQ zMENZf<)f3J95GRT#zgsj6XjfeC3V`F480r5&~uj_Hc_^!GjnxjwXA3oloKY(ub3$3 znka9W1m#{6<)bFbvrUxmnFQsnCdwyFl;@Zz@1F$aJ`?4)Oq7dEln?8a#jJ8Mrs3n- zYZ9~N#j8xEtS0W|!Fb5h_s7r7m!B9vdoN!wz7|X0AJ1#~fTj(+Vx@qJtie zjXtg;#`*zqpp%2qH8Nic&LSJAE2u@!oo9 z%pgxGaMZnJv=_bRpt_Omk~9PzH*g9Kty2ex!vOIELYFRKHz4YhA%f250WtQSe*#1u zn*`1ifZS)O*C-%P1FtbaRNpH~U5a-NK42*INkEK!XFVWp15ON(4F-*E2jrx|qP_yi zeFlrV50G63oIQX%WT5kNKvo%ejRKNwsKq;gsBdo&waCI-`kEnjHf{ka1Z1ay@)AHm zCJo00i26jCD76ldI&eopNhb=(fPqdwAT3%g{H=H;7O(fKzI)mahSl4LrJq%ntx^N~Q#4FCY&XWIh1MNdx7>fT+)B zic+J1&@~OWfMnp^KSPF6=K?~%xfVLaXdb4SRVhm7@>~L(7K6;61SD#p;{$})>AZr3 zBRLE1TLCFFP~HJZoq^6SDy8)lAhX8+S*2-}Aio4;0|XW_(Duc}51%xWE+s1xCngP>Gy$A?cNgSn(-sgh0&^!Q9I0~Fy1_}QF$Pwh| zwwik(RxSpaF9u|{CNq77tP$TSa~MjM0_T1MWfvg#8fsAsNTb1?1AshgfFuDqp>gMy z5I~!PmtNDa1E&KJeH{EQAcY1W^+Q0KHJO3TehSE28fAjK4oJ`-;hTUo8Z?%J2f+J) ztLvu#kRn5=D*$m?(rx}~K=OeDcDy9s84Ji9t)}YxA)N+Zy}%jLblA2z7EYiAy&43> z*yn(=Q=>zWF9Y(5L7smAWPt(aaX=U#x;)Pj1eb16zc)eN0R$>c8?7#wgVFqobl-ag zAjJmFuL30709gk}pP?<<0NJHM6!E%l*2inAYK*>(r_apA3Ko6Gpp6u8jv8wEBS3~B zv)*1u0C~%RlZiJ1P`+OGOXO>MbjWf*wi>kR0i@gjxd9M*haLVvPmwPR9x`x`0cW>n z2^cFQp)QOChFWX`&Jhg<#n})b!)PqMy?y}54nr*t0OB)1PEsjNt3)RYFU80;;9LyI z10XvMxugTUV-pZv&je`(L|hKMyN@OWFz#_z1UMsFE%1#)zQ59|K?uDUIBo;4AwY%= zHT^$;82#9TfT+*3XRu9jqM5HzZo|iTiF%y|q14kTHG)vI`^!j`1VgPo?TSC< zie)m~)z+=X{jIIB&?Yq%33r9mcw5Zh8N#lOcn?FkYm+|`4%UHGXDAVhdHr3%NGQhe zu017y??~c}@Zv>EMJ2IN8$QrjqLvFMB8hk~)}2t=x?=&5=WhZ#4ceBjNnpi$y3d9s z?QlFZeC4v!-yTw0{rIA>GCo&G?2kqj{;|wXe;06rNRLNUWN*cQe7Y?Di4Rkz7?8$k zfP{+TgPDA=8lP8PRkLlFmRZ;Na0__9IirTXY7{s@e+rx46XbBF7@SX3dveLgi)K(T~#e2D1 zl~Ohlv}{7?;t8QkCWMwVe8Raa?ho)9moj`kEzpw)DP_y_=yE-}g2h8!@o*x1ONdF6 z;NQ4V>#ceo*`ef!irtWIr5&G%_D7T;eW?+;(INcXjb;MrUy>!`48!@|5(=`;ElLF6 ziQFXmoI)>e?7agBz@wVU1faTZY)atOo>WcQy{Ou0$qD_1++l<#h`=Ib38Cef zKMZ%N8eTln6c5F!@mg9>jHn76yl@p?iN}Wm_}gk3>?(k{H@@o2g<)8`7}IJ!@#0)A z8=vSYScP@Rwv9VpV=BBJ~!k4Z@}(@)*`tUL5l~FL)Krdq&Ge;od~Rwp5%33t8UeKm1P=(!nebz12KaiFs9Hp6^fhQsI{l@n3yCY9fK=Ae z905MRibmNA46>TErW~fM33mnSJhFwyB`dbnq|ZLqh7uBQO?S){4B`d9WZ%N{^vp_p zRU5T85ca^QFaK1&ayQJmUT%rvSnS#_ZhLBkuv3LlBk zGND*FfZ0e8({Aypb0yJ@swP71n3W22v3ssiC>Sn&f>*cZ8KF{yzo zfN1Go3pY&6WP(reMZ7){Sr^7+$scLz+6-G}4WUF+m+1LH%|ovVN8pXqDzK>|h#5hk zBNXh3gp~9FQ0z}CZT@(IJ`X~3p;EOVzJOH`3kNZGg;lH%wyvjzu}YRYWj8gMs-$?L zGl4lOpU$IFG{xdA#oe8lo+}ei))YnWC8rxtRW2W{CQ2M5Xc>b$^apu=3Wfpd?1_*U zhp(Zgp@R#Cw)a5=7+v7q$q=?+deYelukVUOn<0wH@t>S6Ru}q$0OMyuiN^WpAnTl_ zmSD6e-XYWckVH-q)QXBOC5h7DDOM~Tl`m~EQ-R&Z6JdBxG8d|n(%nYN4Q!-wyc6?S z$}p%1CE$sb5L$11R)pFb4xk4!YrZ?J`vF4jZdGWjNtRON)OI{ydfj;p{+bU@{+ppq zOxogHYsx0f1ZX;XOc#R62C=-{4vJTp`cy$GuTy@${jyko*LTSb4~9YM1yXzD^xqM}dTXBL}s{ju?7e6|Gb!SeWZw zM=wzq>keRmMUlox9AJ#yJt5YJ*&V!QA|$h+bNu#1Ye(5+N~IEyh63R>cxQDIgvL94 zb;Ssy*Ni)r&3@kIs-8Iv9us|x?_^MygToE=DljRyVISt#J<&DjDKuI1bR}STdOMmt zjHw+t{m>@N0Q5zu-pSywq7(IP&4fE^4rh4%$94$L-LqVwGG_}(8 zq<%<|$?k?$Nno-p9iz61p>~|;xQ6nD{F^4|^um&()Gtt-3EEPXSa+4`R*Mh~wQmdu zHj0^;imcAmOa`qZoZUc#gEV_6&?DX^Y z#k^MEJ(44-Tsoo2D*e#})@*XUh5~W1eo?rWq7@!@#B!SigB^Dm=%4X!_%Ir#v4{#v zLy|{Qr=3zv)C5(oMya5hp3Zu)Mhc-Li^h?UzMQE$rVn1^WMTnKQLy?&2cWq%?yG8i=Z<#^+(_zFltp8;2Ib0oxfNzF&n8 zs9_nPPAJCD@o4T)-A%JBu~|v8Nb*>Miz%o7(AVf-FW^b;nX{ zOA6wsp&TM~hp#Hwu*pH4Y69;s`x+J2mt=;SfU0ACCxRS{?qf?fhx{8$lxsFA^>P!c z5)-0$C|+`!9V=ofPw|DMv?5S9yWag=)bUa zZFWsO(ng4h=4m9BIMxsZT@4-Gn=v`i%%A&NO-rZS2;h?#qX!{HkX-7A zak1Y*a>@EsLCa#t%H-~h+gIuR=uCx(ot%et@(JbyZdW~}kTO6Ka&o2BPY!ab4U(_Y z?UPu@hctx_PwV$YcEcGKTPjDJevi{4&kL?;!g3HE!3nR|FAjs0Ljq&R@8O~9*z<{vnoL*t^Wzy}CZFmABTrwp9 diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimkernel.log b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/DataMemory_TB_behav/xsimkernel.log deleted file mode 100644 index e69de29..0000000 diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/datamemory.vdb b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/datamemory.vdb deleted file mode 100644 index 781ba4285cb9160c98924f86bc2d10dd421eba26..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4212 zcmbVQ-EUM?5I^VMOZTHlmqIC^ftpD4!Qcm)Ah>2WbV=_*cQrB57&V(LG~2D=55O1~ zw%8I&38<0Q5Vu=`2q9_W6MgW>_=Jk6PrjJwt0I1XJk*&vckZ6uy9??~I(KHyZ_dn_ zd(NEM_P?~%O)6Da_`r9Ex4PaBFK#-h)%w*6ZhUxk8J<^5NwsEAHebn)XZHCy$Y;lr z2TR3Ke>79cYE*UKZN@=0S2 zWM1#a`}yoXFoX38f4*MG?kSe)<&s~%Qh)DEj~YTRpp{zk9IbXcZf$WPo-1W?g-oS< z;qh%#I2@>ZirLDTUs?SA-e*Zk%euT}x8wP~pIvkD(!v6aWQU7+$W_YUp1;8m7w2Rc z6N`1a4YbVK_xj>wqnbW4dHlpw8UuU#&BfV>>;9Zi87ho7-IvEsmk8(D-v5=yUi5(OxN+%XlBm&!2+1PCk1P6Puk(R~M_b*p~I_)Q;4;&dAk> zj912syg%d1SC-(^@~Qb2tg9ZO&6iSe?G7ZL6S+?03nE_<`3i~h;7N>S1xDjUKW4fL z%~ohAk3+Bzb?^}pm!|uSteEz|tHB3T4qT-fKS83KS`D8Pc$b6WD!rXwXc00{MM<>0 z*_p6a%UIhPXcHALVL&EY!O+z%*ZAZY`4ood6`_+)8SAk5JE#;VZa|ETZ_oJV7x@;3 zeT&e^w~X0m#pV@%paFpyZ(L~fc2jTAn=tH61UXXXJ5@0%83{{L$S9x2hb8(v2S+gcC!tk}3HwM2@8h<7789!H7RP-c5yDCZ|q)Nrgh(FQ1d?O0p54Z3fqsj4s2iiRwJ&uH* zDGu+Vl0FTt2Sya%3Sbx$(swXil}geE_VFmTM<_~gJT0`?hV1) ztX>4}1jGQoL6t^0kH6O5iIZ-Ov+Yf6+iktA;- zTgkH6E_s8)IMX1;lpsP6=ShU#>EpHO^zqrrg~^7^V|Z1>3XJ=uFr61YOoC@pw=qav z@HVrHV6{kLvt$|(PNTpmh3#qFWTi3St*%GfAJfL@gdjL*5n8H@$$A%Lvg8l@l|mMZ z6^m6}&~fRELk3>PLu@i8&P~5ZO`mDH2*I!+*uR?6XO0;aRgJsmPP5!Z@HS}_q2oei zke4ii6}`pcLIlu)4&x6QYawFs-xks`f7s6keJyvM*nDiJ7Mv;#cc)M2U{4w?7R2y} zNs}{eI2tLnnc8!*=C(GpHrm@;Q|nIF*Qv^d)<%1KYii}mnj2}dP8dzF1Q8;|I-;5u(A#h=~LpHm{Z- sIQrQ_!O;&7c$zY2G(m78L}H03tl-3mgA*YdoQ!l0P6jZRe*AyKKakx{kN^Mx diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/datamemory_tb.vdb b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/datamemory_tb.vdb deleted file mode 100644 index 7483009c2929f7145ecfcfbf99cf6d3606157efd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5013 zcmd5AU2has^v=w(?Y7;vlondR0xDV~Eg#i%p>A?Eu%^4vt;R%+F}QJsY!`oliE;aZ zU8LOvkw_~dyGuxcXc|cj?Sm%9m}rP0ej^V)=z}kczWA;6oHKJ~?{?ZoePYVqIo}`m zo_p>cnx5WZ)hKmF;RD}3v%&KHu)pnqp_=Oy+<5!S96YXOYE=F1Xd;ssjO>lYArU{=7AMAYdSI~ZK7H`T6vgL92ZW>e+X7n?KKw^Rn37^vOm@z$wcB0-uu&L zO28IZJ{Um6z!j%OrXR9|_r;9M}viQxE@3;9{uo~6Ra}fOC-ZJ9E>v6 zfUih+mq`_Dbny!{TmZ6&36*P<2iB_KEhyausNxh_#Lzs3u69|rPkxb40obk}i}@7Z zA~m}RN%6$Bh?4EwXZz+C`4)hE3$mDR;pru=!-^NEMZ|1x+#ifCyG@`s0oa=$Qcm_d zWicw@xShlW6i@-QhyZyps8wQ37@Go=o867fn{>bNUb>lMb9w`9!`hA-oKWlV*pSt- zW+fcP4X0q3w%v7{=4~|FHHk~37;5o$o8>dasgsKZsy&CbBFxnonh*aw(g?Mf7@izlP0*pslYFPZ48o0>UsY4S}t zb#mtPNqEPgxtPb)*7Y=_s8yPtwz@+hRNX>2sCpDoa0Ca!>49!MCc9iLizGqH-ILoC z?+)Q<4Os&fe1y)GfBkB)PWA-hHN$m-k7)%K<@`$O>(}Vog78A&V!vU^^ zluJlz3BC|}%gFpq7VV|$*?@Md^0^~nT&Sw{*0wNiGGx+S%DL&#CUPEV#e!<`kq(0> z*v2Jh!hRIlWXGs0Gu!MTPVHE3I6D?Djb6;n>oD7{khqx3yAlM8dwd&EavZI8yxEl{ zh;@DW4Jkg2_QU4yONBCpVIJ zV7n<%fpZxftA)-+1#x!)3BlE7)Q7jIeMJzu&9;hrMj+M|q;l`;jevJOoS}83v_!!~ zm>#%B;gg5W;z6mNyAZBU4<-itqnUK|<=NA-ub!B@jM7W2b{DTUX#s&KxRqaZ{=03f z9IOM|os~8$Z%ZTO(gPa<7XIK7$$E>#)F}`rAqY(oJ#1Zw+S>R#HSZ4W@$S+C4d}fg z01u1zF4wz2>|GH20OE(e3sLvJUGwh1`oU3dH{3{!kjPLJZY2I4niU6jP8Du1+(HaE zg`o(yW~5NGpAik@GsEAlS##j>AtbGa8;NaGWXO>H$2J|1ZF2S8N@S>B+?w%+G%F6g#9Rqin;;D==e5(#OCZimkczy7sO80T(S;6rgaKA>&kbNTxu?mV*lq(yf>k}HI?ags8zPvFJ@fxeMjnN)q zV~j6vOhmlK=t_o>(WVJ7jE2~%AdRVHrZ0A={Bi9eaBUbsU)-X^B_T)^GU$P=*eokJ zHXVs{6Xc;5m zO;I>@f|aYN*3ktVL!v7-N5VLY`eJy5^1zqyPNB0iK`KrXA?jU(?(Y^Dc&5Ty8n*H2 NDv@9;P52*j{{TRfw}b!y diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx deleted file mode 100644 index 7a621a9..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx +++ /dev/null @@ -1,6 +0,0 @@ -0.7 -2020.2 -Oct 14 2022 -05:20:55 -C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sim_1/new/testbench.vhd,1696161441,vhdl,,,,datamemory_tb,,,,,,,, -C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sources_1/new/design.vhd,1696161504,vhdl,C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sim_1/new/testbench.vhd,,,datamemory,,,,,,,, diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.ini b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.ini deleted file mode 100644 index e8199b2..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xsim.ini +++ /dev/null @@ -1 +0,0 @@ -xil_defaultlib=xsim.dir/xil_defaultlib diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xvhdl.log b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xvhdl.log deleted file mode 100644 index 437c90f..0000000 --- a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xvhdl.log +++ /dev/null @@ -1,4 +0,0 @@ -INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sources_1/new/design.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'DataMemory' -INFO: [VRFC 10-163] Analyzing VHDL file "C:/Users/robin/Dev/memory_file/data_memory/data_memory/data_memory.srcs/sim_1/new/testbench.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'DataMemory_TB' diff --git a/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xvhdl.pb b/data_memory/data_memory/data_memory.sim/sim_1/behav/xsim/xvhdl.pb deleted file mode 100644 index 4fe5ca4b2b1315ddeae84cfc8f526d97bb42dc28..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 690 zcmb`D%}T>S6onf#7Dg=DxN#w4AXEf9O$se`r$%t08&MZ7jFaT18Av8&?zAR8g^#Ik zVbuDE3X0tw4wrk*`N)Gow)P~?s#_sP^y0`rrisXa+78-ONL|oOgo>+*I%t{ei*CQS zy;7pcr=Ub4B2Sa+gCRRdP{>pf3dww!vK;b4Rl(CM7IV#m7vm@0K@}mxVxl6zz-1C{ zSPUpevNKI%uNgzaCz&3;3eP63Qe?r*uPc7Nxm+_;vS_=Plm^H0yw#+Z}vNxc@ItB*ENxihRaW1L_cDl+G6? c{}awlvigoQINSdv(H0?xGNz{x6)LujN8&f#HUIzs